Сегодня 09 мая 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → полупроводники
Быстрый переход

Производство чипов в США вырастет в три раза к 2032 году, а доля на мировом рынке достигнет 14 %

Отраслевая ассоциация SIA, охватывающая преимущественно компании полупроводникового сектора США, заказала аналитикам Boston Consulting Group исследование о перспективах развития американского производства чипов. Во итогам работы аналитики заявили, что объёмы выпуска полупроводниковой продукции на территории страны к 2032 году утроятся по сравнению с 2022 годом, а доля США на мировом рынке увеличится с 10 до 14 %.

 Источник изображения: GlobalFoundries

Источник изображения: GlobalFoundries

По сути, к подобной динамике стремятся и власти США, которые уже начали активно распределять субсидии по принятому в 2022 году «Закону о чипах», предусматривающему выделение $52 млрд на стимулирование строительства на территории страны новых предприятий по выпуску чипов, а также активизацию научно-исследовательских работ в данной сфере. По мнению аналитиков, если бы не данная законодательная инициатива, доля США на мировом рынке полупроводниковых компонентов к концу периода прогнозирования сократилась бы с 10 до 8 %.

Представители SIA, которые активно лоббировали принятие «Закона о чипах» в его нынешнем виде, подчёркивают, что для полноценной децентрализации производства чипов, которое пока сконцентрировано в Восточной Азии, выделенных властями США средств будет недостаточно. По замыслу американского правительства, к концу десятилетия на территории США должна производиться одна пятая часть всех полупроводниковых компонентов, использующих для выпуска передовые литографические технологии. Если прогноз SIA сбудется, то к 2032 году США будут контролировать лишь 14 % всего мирового производства чипов, включая и выпускаемую по зрелой литографии продукцию. Очевидно, что для воплощения планов Министерства торговли США в жизнь потребуются дополнительные меры поддержки развития американской национальной полупроводниковой отрасли.

Как отмечается в отчёте SIA, не только США готовы развивать полупроводниковую промышленность. Китай в настоящее время возводит на своей территории около 30 новых предприятий по выпуску чипов, тогда как в США их количество ограничивается 26 штуками. На территории Европы планируется построить 8 новых предприятий.

Квартальный прогноз GlobalFoundries подтвердил тенденцию к росту спроса на чипы

Помимо поставщиков основных комплектующих для ПК и серверного оборудования, в эти дни свои квартальные отчёты опубликовали и компании, работающие с более широким кругом потребителей чипов. Компания GlobalFoundries была среди них, её прогноз по выручке на второй квартал превзошёл ожидания аналитиков и подтвердил наличие тенденции к оживлению спроса.

 Источник изображения: GlobalFoundries

Источник изображения: GlobalFoundries

Генеральный директор компании Томас Колфилд (Thomas Caulfield), как сообщает Reuters, заявил о начале восстановления полупроводниковой отрасли после коррекции складских запасов, которая лихорадила рынок на протяжении нескольких предыдущих кварталов. В текущем квартале GlobalFoundries рассчитывает выручить от $1,59 до $1,64 млрд, что по середине диапазона выше ожидаемых аналитиками $1,59 млрд. Удельный доход на одну акцию в размере от $0,24 до $0,34 тоже превышает консенсус, соответствующий $0,27.

Выручка GlobalFoundries в первом квартале текущего года сократилась на 16 % до $1,55 млрд, что всё равно превышает ожидания аналитиков ($1,52 млрд). Удельный доход на одну акцию в размере $0,31 тоже оказался выше прогнозов. В этом году являющаяся третьим по величине контрактным производителем чипов в мире GlobalFoundries также добилась гарантий от властей США на выделение субсидий в размере $1,5 млрд, которые будут потрачены на строительство нового предприятия в штате Нью-Йорк и модернизацию существующей площадки в Вермонте. Общую динамику финансовых показателей компании в первом квартале нельзя назвать положительной, но она хотя бы превосходит ожидания аналитиков. Операционная прибыль упала в годовом сравнении на 49 % до $147 млн, а чистая сократилась на 47 % до $134 млн.

Мировые поставки материалов для выпуска чипов упали на 8,2 % — только Китай показал рост

Глобальный рынок материалов для производства полупроводников сократился на 8,2 % до $66,7 млрд в 2023 году. Снижение продаж затронуло материалы для обработки кремниевых пластин и упаковки чипов. Что интересно, спад наблюдался во всех регионах, кроме Китая.

 Источник изображения: Kandinsky

Источник изображения: Kandinsky

Согласно отчету ассоциации поставщиков для электронной промышленности SEMI, общая выручка на рынке микросхем снизилась на 8,2 % по сравнению с предыдущим годом. Интересно, что эта негативная динамика наблюдалась во всех регионах мира, за исключением Китая, которому, благодаря активному развитию национальной полупроводниковой промышленности, удалось продемонстрировать положительную динамику и увеличить потребление материалов для производства чипов.

Как сообщает Tom's Hardware, снижение на глобальном рынке связано в первую очередь с падением спроса на сами полупроводники. В 2022 году компании столкнулись с избыточными запасами готовой продукции, что и вынудило их сократить объемы производства в 2023 году. Это привело к снижению загрузки производственных мощностей и, как следствие, уменьшению потребления материалов, необходимых для изготовления чипов. Таким образом, спад на рынке конечной продукции непосредственно отразился на спросе со стороны производителей процессоров.

Падение затронуло как материалы для обработки пластин (снижение на 7 %, до 41,5 млрд долларов), так и упаковочные материалы для готовых изделий (на 10,1 %, до 25,2 млрд). В первом случае наибольший спад показали поставки кремния, фоторезистов и материалы для химико-механической планаризации. Во втором — органических субстратов для корпусов микросхем.

При этом, по-прежнему крупнейшим потребителем материалов для полупроводников остается Тайвань (19,2 млрд долларов), где расположены производства мирового лидера контрактного производства чипов TSMC. На втором месте оказался Китай с показателем 13,1 млрд долларов, которому, несмотря на общемировой спад, удалось нарастить внутреннее потребление за счет активного строительства новых производств. Замыкает тройку лидеров Южная Корея (10,6 млрд долларов), где работают такие гиганты отрасли как Samsung и SK Hynix.

В других регионах наблюдалось более существенное падение. Например, в Северной Америке рынок сократился на 11,4 % (до 5,6 млрд долларов), а в Европе на 5,7 % (до 4,3 млрд). Это связано в том числе с переносом производств в Азию и снижением доли западных стран в мировом производстве полупроводников.

Несмотря на локальный рост в Китае, в целом отрасль переживает непростые времена, так как глобальный спад спроса вкупе с высокой конкуренцией создает сложности для большинства участников рынка.

США профинансируют технологию цифровых двойников чипов — это ускорит разработку микросхем

Цифровые двойники — это виртуальные модели реальных физических чипов, которые упрощают исследование поведения чипа при увеличении нагрузки или изменении конфигурации данных. Это помогает исследователям тестировать новые процессоры перед запуском их в производство. Администрация США планирует финансировать в рамках «Закона о чипах и науке» компании, работающие над цифровыми двойниками, а также создать институт по проектированию и производству чипов.

 Источник изображения: pexels.com

Источник изображения: pexels.com

Принятый в 2022 году в США «Закон о чипах и науке» предусматривает целевое финансирование национальной полупроводниковой отрасли в общей сложности на $280 млрд. На данный момент производители уже запросили более $70 млрд в виде грантов на развитие индустрии и строительство новых предприятий.

Цифровые двойники могут интегрироваться с другими новыми технологиями, такими как генеративный ИИ, для ускорения моделирования или дальнейших исследований новых концепций полупроводников. «Технология цифровых двойников может помочь стимулировать инновации в исследованиях, разработках и производстве полупроводников по всей стране — но только если мы будем инвестировать в понимание и способность Америки использовать эту новую технологию», — считает министр торговли Джина Раймондо (Gina Raimondo).

Задачей создаваемого института CHIPS Manufacturing USA будет развитие региональных связей для обмена ресурсами между компаниями, разрабатывающими и производящими как физические полупроводники, так и цифровые двойники. Представители администрации США в этом месяце проведут совещания о перспективах финансирования с заинтересованными сторонами. Правительство будет инвестировать в оперативную деятельность института, исследования в области цифровых двойников, физических и цифровых объектов, таких как доступ к облачным средам, а также обучение персонала.

На данный момент такие компании, как Intel и Micron, собираются получать финансирование от правительства США в рамках «Закона о чипах и науке». Одной из приоритетных задача администрации США в рамках этого закона является поощрение полупроводниковых компаний к созданию передовых микросхем в США, особенно сейчас, когда спрос на мощные чипы стремительно растёт благодаря буму искусственного интеллекта.

Samsung расскажет о GAA-транзисторах третьего поколения для 2-нм чипов в июне

Компания Samsung разрабатывает транзисторы GAA (Gate-all-Around) нового поколения, которые будут применяться в чипах, производимых по её 2-нм техпроцессе. Компания планирует внедрить технологию в следующем году. Об этом сообщает южнокорейское издание Business Korea, ссылающееся на свои источники в отрасли.

 Источник изображений: Samsung

Источник изображений: Samsung

Со ссылкой на свои источники издание также отмечает, что Samsung собирается представить доклад о третьем поколении технологии GAA для своего 2-нм техпроцесса (SF2) в рамках конференции по вопросам полупроводниковых технологий VLSI Symposium 2024, которая будет проходить на Гавайях с 16 по 20 июня.

Технология GAA, которую первой в мире поставила на коммерческие рельсы именно компания Samsung, это технология производства транзисторов с затвором, который полностью окружает канал. Поскольку с каждым переходом на новый техпроцесс транзисторы в составе полупроводника становятся меньше, контролировать движение тока в них становится всё сложнее. Однако GAA предлагает совершенно новую архитектуру транзистора, которая позволяет повысить его энергоэффективность.

В настоящий момент Samsung является единственной компанией в мире, которая может массово применять технологию GAA-транзисторов для производства чипов. Она приступила к исследованию GAA ещё в начале 2000-х годов и впервые внедрила её для своего 3-нм техпроцесса в 2022 году. Однако из-за мировой экономической нестабильности, высокой стоимости производства, а также ограниченной клиентской базы в таких секторах, как мобильные устройства, спрос на 3-нм техпроцесс Samsung оказался несущественным. Как результат, лидерство в производстве 3-нм чипов перешло к тайваньскому контрактному производителю чипов TSMC, который использует более традиционные (и дешёвые) методы производства транзисторов.

В ответ Samsung готовит второе поколение транзисторов GAA для 3-нм техпроцесса, которое она собирается представить в течение этого года. А в следующем году компания представит третье поколение GAA для 2-нм техпроцесса, чтобы закрепить лидерство в этом направлении. TSMC и Intel тоже планируют в конечном итоге перейти на использование технологии GAA с переходом на 2-нм техпроцесс производства, но случится это позже, чем у Samsung. Таким образом, у южнокорейской компании будет некое преимущество перед конкурентами. По крайней мере в теории.

Официальное название технологии GAA от Samsung — MBCFET. Первое поколение GAA для техпроцесса 3 нм по сравнению с предыдущим поколением FinFET-транзисторов Samsung обеспечило 23-процентную прибавку в производительности, 16-процентное увеличение плотности и 45-процентное повышение энергоэффективности. Второе поколение GAA для 3 нм техпроцесса, как ожидается, обеспечит 30-процентную прибавку в производительности, 35-процентное повышение плотности, а также 50-процентное снижение в энергопотреблении. Что касается третьего поколения MBCFET, то для него также ожидается значительная прибавка в производительности с более чем 50-процентным повышением энергоэффективности по сравнению с предыдущим поколением технологии.

Слабый спрос на электромобили привёл к падению выручки NXP в автомобильном сегменте на 1 %

Крупные игроки в сегменте автомобильной микроэлектроники начинают говорить о снижении спроса на электромобили, что сказывается на их собственной выручке. Компания NXP Semiconductors оказалась в их числе, сократив выручку на автомобильном направлении бизнеса на 1 %, но на фоне конкурентов такое снижение ещё можно считать удачей.

 Источник изображения: NXP Semiconductors

Источник изображения: NXP Semiconductors

В 2023 году, как поясняет Reuters, компания была вынуждена поднять цены на свою продукцию на 8 %, поскольку рост затрат вынудил её сделать это ради сохранения прибыли, которая нужна для финансирования деятельности компании и её развития. Совокупная выручка NXP по итогам первого квартала текущего года выросла на 0,2 % до $3,13 млрд, но сократилась последовательно на 9 %. Чистая прибыль выросла на 1 % до $1,78 млрд в годовом сравнении, но сократилась на 8 % последовательно. Операционная прибыли выросла на 4 % год к году до $856 млн и сократилась последовательно на 6 %.

В автомобильном сегменте выручка NXP сократилась на 1 % до $1,8 млрд по сравнению с аналогичным периодом прошлого года, последовательно она уменьшилась на 5 %. Это в некоторой степени было уравновешено ростом выручки в сегменте промышленного оборудования, Интернета вещей (+14 % год к году до $574 млн) и мобильном сегменте (+34 % до $349 млн), но в телекоммуникационном сегменте выручка компании сократилась на 25 % до $399 млн. Спрос на электромобили снижается из-за сложной макроэкономической ситуации и высоких ставок по кредитам. Тем более, что этот вид транспортных средств остаётся достаточно дорогим, а количество зажиточных энтузиастов, которые готовы приобрести себе электромобиль и ещё не сделали это, стремительно уменьшается.

Во втором квартале NXP рассчитывает получить удельный доход на одну акцию в размере $3,2 против прогнозируемых аналитиками $3,11, поскольку спрос на чипы в сегменте промышленного оборудования восстанавливается, да и рынок смартфонов постепенно избавляется от складских излишков. Норма прибыли во втором квартале достигнет 58,5 % против ожидаемых рынком 58,1 %, как считает руководство компании.

Прибыль Samsung Electronics взлетела на 933 % — производство памяти перестало приносить убытки

к публикации квартальной отчётности традиционно подходит поэтапно, сначала называя собственный прогноз в этой сфере, затем подводя предварительные итоги квартала, и только потом раскрывая полную отчётность. На третьем этапе этого пути уже можно утверждать, что бизнес Samsung по производству памяти вернулся к прибыльности.

Впрочем, если быть до конца точными, речь идёт о всём бизнесе Device Solutions, который отвечает за выпуск полупроводниковых компонентов для собственных нужд компании и сторонних клиентов. Операционная прибыль на этом направлении по итогам первого квартала достигла $1,38 млрд, тогда как годом ранее наблюдались операционные убытки в размере $3,3 млрд, а в четвёртом квартале прошлого года они достигали $1,58 млрд.

Эксперты считают, что подобной динамике операционной прибыли подразделения DS способствовало не только восстановление спроса на разные виды памяти, но и активный рост потребности в микросхемах HBM, которые нужны для производства ускорителей вычислений. Впрочем, снабжать Nvidia своей памятью типа HBM3E компания Samsung только начинает, поэтому основная динамика на этом направлении ещё впереди. Во втором квартале компания приступит к выпуску не только 12-ярусных стеков HBM3E, но и 128-гигабайтных модулей DDR5 для серверного применения. Полупроводниковое подразделение Samsung, по прогнозам руководства компании, в этом году по операционной прибыли выйдет на уровень 2022 года, что соответствует $7,26 млрд. Прошлый год для компании был очень неудачным из-за падения спроса и цен на микросхемы памяти. Выручка Samsung в полупроводниковом сегменте по итогам первого квартала выросла на 68 % до $16,8 млрд. Непосредственно выручка Samsung от поставок микросхем памяти в прошлом квартале выросла на 96 % до $12,7 млрд.

Как уже отмечалось ранее, совокупная выручка Samsung по итогам первого квартала выросла на 12,81 % в годовом сравнении до $52,3 млрд, а операционная прибыль взлетела на 932,8 % до $4,8 млрд. Обе суммы оказались выше ожиданий аналитиков и собственных прогнозов компании, а операционная прибыль достигла максимальной величины с третьего квартала 2022 года. Росту выручки, по мнению руководства, способствовали успех смартфонов семейства Galaxy S24 и сосредоточенность компании на выпуске более дорогих видов памяти, которые ещё и поднялись в цене. Эксперты Citi считают, что дальнейшее развитие рынка систем искусственного интеллекта подстегнёт спрос на твердотельную память типа NAND, поскольку накопители данных станут узким местом с точки зрения дальнейшего масштабирования производительности. Являясь крупнейшим производителем памяти, Samsung сможет неплохо на этом заработать.

Во втором квартале Samsung ожидает сезонного снижения спроса на смартфоны и память, поскольку анонс новых продуктов сосредоточен в первом и третьем кварталах года, а второй в этом смысле не демонстрирует оживления. Функции искусственного интеллекта Samsung будет прививать не только новым смартфонам, но и уже существующим моделям, во второй половине года компания ожидает роста спроса на мобильные устройства с функциями ИИ. Операционная прибыль Samsung на направлении смартфонов по итогам первого квартала незначительно снизилась в годовом сравнении до $2,54 млрд. Выручка Samsung в сегменте мобильных устройств составила $24,3 млрд. По словам представителей Samsung, более половины покупателей Galaxy S24 выбрали смартфоны флагманской серии именно из-за функций работы с искусственным интеллектом, а 60 % владельцев этих моделей регулярно пользуются этими функциями.

На контрактном направлении освоение 3-нм и 2-нм техпроцессов идёт в соответствии с ожиданиями Samsung. Выпуском 3-нм продукции Samsung занимается с 2022 года, а 2-нм чипы начнёт массового производить в 2025 году. На направлении дисплеев операционная прибыль в первом квартале сократилась в два с лишним раза по сравнению с прошлым годом, до $247 млн. Объёмы поставок микросхем HBM компания в текущем году собирается увеличить более чем в три раза. В структуре поставок данного типа памяти новейшая HBM3E будет составлять две трети к концу текущего года.

В этом году власти Италии направят на развитие национальной полупроводниковой отрасли до 10 млрд евро

Относительные успехи соседей в лице Германии и Франции, которые привлекают на свою территорию инвестиции со стороны производителей чипов, не дают покоя итальянским властям, а потому они на днях объявили о готовности выделить до 10 млрд евро на развитие национальной полупроводниковой отрасли до конца текущего года.

 Источник изображения: Unsplash, Samuel Ferrara

Источник изображения: Unsplash, Samuel Ferrara

Министр промышленности Италии Адольфо Урсо (Adolfo Urso), как сообщает Bloomberg со ссылкой на местные СМИ, на съезде правящей партии страны заявил репортёрам следующее: «Италия готовится стать одним из крупнейших производителей микроэлектроники в Европе». В марте итальянский чиновник уже сообщал о намерениях сингапурского стартапа Silicon Box построить предприятие по производству чипов на севере Италии, на реализацию проекта планируется потратить 3,2 млрд евро.

До тех пор предполагалось, что своё предприятие по тестированию и упаковке чипов на севере страны разместит корпорация Intel, обеспечив тем самым компонентами итальянских автопроизводителей, чьи предприятия сосредоточены в этом регионе. Между тем, распыляющаяся между большим количеством новых строек Intel была вынуждена отказаться от реализации этого проекта. Министр промышленности Италии добавил, что в ближайшие недели появится возможность сделать другие важные заявления в этой сфере.

Внешние клиенты обеспечивают контрактный бизнес Intel весьма скромной выручкой

Ещё в начале этого месяца Intel объявила о переходе к новой системе учёта расходов на производство своей продукции, согласно которой будет учитываться выручка, которую одно подразделение компании получает от реализации изделий для нужд другого. В ретроспективе прошлого года это привело к операционным убыткам в размере $7 млрд, но и первый квартал текущего года по новой системе отчётности не добавит оптимизма инвесторам.

 Источник изображений: Intel

Источник изображений: Intel

Напомним, что в начале апреля руководство Intel выразило уверенность, что текущий год контрактному направлению бизнеса компании принесёт ещё большие операционные убытки, чем в прошлом году ($7 млрд), но в дальнейшем ситуация начнёт выправляться. На квартальном отчётном мероприятии на уходящей неделе представители Intel пояснили, что на переход к безубыточности при производстве чипов компании в целом потребуется около двух лет.

В квартальном отчёте содержится информация о том, что подразделение Intel Foundry сократило в годовом сравнении выручку с $4,8 до $4,4 млрд, поскольку уменьшились денежные поступления от услуг по упаковке и тестированию чипов, которые она оказывает сторонним клиентам. Меньше стала компания реализовывать и образцов чипов, хотя при этом не уточняется, идёт ли речь о собственных заказах, либо только о внешних.

Вообще, о выручке, получаемой от сторонних клиентов подразделения Intel Foundry, корпоративная отчётность сообщает весьма скупую информацию. По данным формы 10-Q, выручка от внешних клиентов в первом квартале текущего года сократилась на 77 % до $27 млн из-за сокращения объёмов поставок оборудования и уменьшения объёмов услуг по упаковке чипов. Подразделение IMS, акционером которого является TSMC, сократило объёмы продаж оборудования для изготовления фотомасок.

Другими словами, в денежном выражении услуги Intel собственно по изготовлению чипов для сторонних заказчиков пока почти не развиты, и профильный бизнес держится как на услугах по упаковке чипов, так и на продаже оборудования для изготовления фотомасок. Это не мешает компании заявлять, что она уже привлекла шестого по счёту клиента на свой перспективный техпроцесс Intel 18A, и во втором квартале им будет предоставлен инструментарий PDK 1.0 для разработки компонентов с учётом особенностей этой литографической технологии Intel. К массовому производству чипов для сторонних клиентов по технологии 18A компания Intel собирается приступить во второй половине следующего года. Портфель заказов от внешних клиентов Intel оценивает в $15 млрд минимум, но пока остаётся лишь запасаться терпением в ожидании возникновения профильной выручки.

Операционные убытки контрактного подразделения, которое пока несёт огромные затраты на освоение новых техпроцессов и строительство новых предприятий, что сопровождается закупкой дорогого оборудования, по итогам первого квартала достигли $2,5 млрд, слегка увеличившись в годовом сравнении. Если они останутся на таком же уровне в каждом из оставшихся до конца года кварталов, то Intel точно превысит операционные убытки прошлого года, которые составили $7 млрд. Руководство компании считает, что «дно» по затратам в контрактном бизнесе будет пройдено в 2024 году, и ситуация контролируется достаточно, чтобы рассчитывать на дальнейшее приближение к безубыточности.

Как уже отмечалось в феврале, после перехода на EUV-литографию в условиях массового производства Intel рассчитывает наращивать среднюю цену реализации кремниевых пластин сторонним клиентам быстрее, чем будут расти собственные затраты. Это будет способствовать росту прибыли в контрактном бизнесе, и постепенно выведет его из убытков. Кстати, если посчитать выручку Intel Foundry за прошлый год, то она составит $18,9 млрд. По большому счёту, эта та сумма, которую Intel переложила из одного своего кармана в другой. При этом операционные убытки достигли $7 млрд, а это значит, что Intel в прошлом году потратила на контрактном направлении около $26 млрд.

Япония ужесточит контроль экспорта полупроводников и квантовых технологий куда бы то ни было

Японское правительство планирует расширить ограничения на экспорт ещё четырёх технологий, связанных с полупроводниками и квантовыми вычислениями. Новые меры коснутся сканирующих электронных микроскопов, используемых для анализа изображений наночастиц и транзисторов Gate all around. Потребуются лицензии на поставки криогенных КМОП-схем, используемых в квантовых компьютерах, а также на сами квантовые компьютеры.

 Источник изображения: unsplash.com

Источник изображения: unsplash.com

Поставки этих технологий во все страны, включая таких давних стратегических партнёров, как Южная Корея, Сингапур и Тайвань, потребуют одобрения чиновников экспортного контроля. Ужесточение экспортного контроля — очередной шаг Японии в глобальном стремлении контролировать поток стратегических технологий. Этот шаг призван улучшить контроль за экспортом компонентов военного назначения и согласуется с аналогичными тенденциями по всему миру, заявило в пятницу Министерство экономики, торговли и промышленности. Изменения вступят в силу в июле 2024 года, после периода общественного обсуждения до 25 мая.

В прошлом году Япония расширила ограничения на экспорт 23 видов передовых технологий производства микросхем. Эта мера последовала за попытками США ограничить доступ Китая к ключевым полупроводниковым процессам. Официальные лица Вашингтона оказывают давление на своих международных партнёров, таких как Япония и Нидерланды, требуя присоединиться к торговым санкциям в отношении Китая, который США рассматривают как геополитического и потенциально военного соперника.

TSMC не потребуется оборудование High-NA EUV для выпуска чипов по технологии A16

Представители TSMC вчера сделали ряд заявлений, не только подтвердив намерения начать выпуск 2-нм продукции до конца следующего года, но и пообещав внедрить технологию A16 с опережением первоначального графика уже во второй половине 2026 года. При этом ей не потребуется дорогое оборудование с высоким значением числовой апертуры, которое намерена использовать для техпроцесса Intel 14A одноимённая компания.

 Источник изображения: TSMC

Источник изображения: TSMC

Кевин Чжан (Kevin Zhang), старший вице-президент TSMC по развитию бизнеса, в интервью Reuters отметил, что компания ускорила освоение техпроцесса A16 по требованию неких разработчиков чипов для систем искусственного интеллекта. Он также выразил уверенность, что TSMC потребуются литографические сканеры ASML с высоким значением числовой апертуры (High-NA EUV), которые стоят около $380 млн за штуку, для производства чипов по технологии A16. В этом отношении TSMC демонстрирует другой подход по сравнению с Intel, которая такое оборудование ASML уже начала испытывать, пусть и в единичном экземпляре, чтобы провести эксперименты ещё в рамках технологии 18A, а потом внедрить к 2027 году уже в рамках серийной версии техпроцесса 14A. Несмотря на высокие капитальные затраты, Intel считает переход на использование литографических сканеров с высоким значением числовой апертуры важным этапом достижения преимущества над конкурентами по себестоимости изготавливаемой продукции.

Компания TSMC в рамках техпроцесса A16 будет использовать литографические сканеры существующего поколения, как можно понять со слов руководства. Разного рода ухищрения с шаблонами, подбор новых химикатов и расходных материалов, а также использование искусственного интеллекта для поиска дефектов позволят TSMC обойтись без более дорогого оборудования при производстве 1,6-нм чипов. Впрочем, подводить питание с оборотной стороны кремниевой пластины TSMC в рамках технологии A16 всё равно будет, поэтому серьёзные новшества в этом смысле всё-таки будут предусмотрены.

В годовом отчёте TSMC отмечается, что компания будет изучать возможность использования литографического оборудования следующего поколения в рамках техпроцесса A14 и более новых, но просто на этапе A16 его применение не кажется экономически целесообразным. Представители TSMC уже высказывались на эту тему, когда впервые стало известно о намерениях Intel перейти на использование сканеров с высоким значением числовой апертуры. Попутно компания будет работать над совершенствованием фотошаблонов и расходных материалов для обработки кремниевых пластин, поэтому сугубо переходом на новые литографические сканеры дело в будущем не ограничится.

TSMC представила техпроцесс N4C — благодаря ему 4-нм чипы станут дешевле

Компания TSMC представила новый техпроцесс класса 4–5 нм — N4C. Он призван снизить себестоимость продукции на его основе на 8,5 % по сравнению с процессом N4P, при этом сохранив преемственность по технологической оснастке и средствам проектирования. Кроме того, N4C призван обеспечить снижение уровня брака при производстве чипов.

 Источник изображений: TSMC

Источник изображений: TSMC

«Мы пока не закончили с нашими 5-нм и 4-нм технологиями. При переходе с N5 на N4 мы добились 4-процентной оптической усадки и продолжили улучшать характеристики транзисторов. Теперь мы добавляем N4C в наш портфель технологий 4 нм. N4C позволяет нашим клиентам сократить расходы за счёт сокращения количества используемых масок, а также улучшения исходной конструкции полупроводников, например, стандартных ячеек и SRAM, чтобы ещё больше снизить общие эксплуатационные издержки», — заявил Кевин Чжан (Kevin Zhang), старший вице-президент по развитию бизнеса TSMC на недавно состоявшемся Североамериканском технологическом симпозиуме.

Узел N4C является частью семейства техпроцессов TSMC N5/N4 и основан на технологии N4P. Внедрение новой технологии является важным стратегическим шагом для TSMC, поскольку N4C даёт возможность значительно снизить затраты на производство продуктов на основе 4-нм техпроцесса и тем самым стимулировать расширение базы клиентов компании, желающих перейти на новый и недорогой техпроцесс. Новая технология предлагает баланс между энергоэффективностью, производительностью и стоимостью внедрения.

Учитывая высокие затраты, связанные с нормами класса 3 нм, и их относительно ограниченные преимущества перед такими технологиями, как N4P, с точки зрения производительности и плотности транзисторов, N4C может стать весьма популярным выбором среди клиентов TSMC.

Компания планирует начать массовое производство чипов с использованием техпроцесса N4C в 2025 году. К тому моменту за плечами TSMC будет шесть лет производства продуктов на основе 5-нм техпроцессов. Производитель ожидает, что к этому времени он сможет выйти на хороший уровень выпуска качественной продукции на основе N4C и снизить её стоимость. А поскольку к 2025 году многие инструменты для выпуска продуктов на основе 5-нм техпроцесса «устареют», N4C и аналогичные узлы могут оказаться чуть ли не единственными экономически доступными альтернативами.

TSMC пообещала освоить 2-нм техпроцесс в 2025 году, а 1,6-нм техпроцесс — на год позднее

Активность Intel по возвращению себе технологического лидерства в сфере литографии ко второй половине десятилетия не могла остаться без ответа действующего лидера в лице тайваньской компании TSMC, а потому на этой неделе она заявила, что собирается освоить выпуск 1,6-нм чипов ко второй половине 2026 года.

 Источник изображения: TSMC

Источник изображения: TSMC

Для этих заявлений руководством TSMC была использована площадка Североамериканского технологического симпозиума в Калифорнии, что косвенно намекало не только на соперничество с Intel в этой сфере, но и на готовность TSMC внедрять передовую технологию на американской земле. Напомним, что обязательство наладить выпуск в США чипов по 2-нм технологии в этом десятилетии стало для TSMC одним из условий получения субсидий от властей страны. Пока нет информации на тот счёт, будет ли 1,6-нм техпроцесс освоен американскими предприятиями TSMC, и в какие сроки это произойдёт.

Представители TSMC лишь пояснили, что 1,6-нм технология способна значительно увеличить плотность размещения логических элементов и их быстродействие по сравнению с техпроцессом N2P. В частности, скорость переключения транзисторов вырастет на 8-10 % при неизменном напряжении, энергопотребление удастся снизить на 15-20 % при том же быстродействии, а в серверном сегменте плотность размещения транзисторов удастся увеличить в 1,1 раза. Попутно сообщается, что помимо структуры транзисторов с окружающим затвором, которую конкурирующая Samsung начала использовать ещё в рамках своего 3-нм техпроцесса, компания TSMC при выпуске чипов по технологии A16 будет использовать и подвод питания с оборотной стороны кремниевой пластины. Intel такое решение намеревается использовать при выпуске чипов по своим технологиям 20A и 18A с 2025 года.

Компания TSMC сообщает, что техпроцесс N2 должен быть освоен в массовом производстве во второй половине 2025 года, после этого производитель займётся техпроцессом A16. В 2025 году компания также собирается освоить техпроцесс N4C, который от N4P будет отличаться сниженной на 8,5 % себестоимостью производства чипов при невысокой сложности внедрения. Кроме того, выход годной продукции по этому техпроцессу должен стать выше.

По словам представителей TSMC, компания ускорила разработку технологии A16 с учётом потребностей неких компаний, интересующихся возможностью выпуска чипов для систем искусственного интеллекта с её помощью. Примечательно, что литографические сканеры с высоким значением числовой апертуры (High-NA EUV) для выпуска 1,6-нм продукции TSMC, скорее всего, не потребуются. Первыми клиентами TSMC по техпроцессу A16 как раз станут разработчики ускорителей вычислений, а на процессоров для смартфонов, как это происходило обычно.

Напомним, Intel собирается освоить техпроцесс 14A к концу 2026 года или в начале 2027 года, но различия в подходе производителей к оценке основных геометрических параметров своих литографических технологий не позволяет напрямую сопоставлять решения разных производителей. В любом случае, TSMC освоит техпроцесс A16 к 2026 году, а Samsung собирается наладить выпуск чипов 1,4-нм класса к 2027 году.

Будет TSMC совершенствовать и технологию интеграции полупроводниковых компонентов. К 2027 году будет освоена разновидность технологии CoWoS, позволяющая интегрировать на уровне кремниевой пластины чипы с несколькими разнородными кристаллами, память типа HBM и прочие компоненты. К концу следующего года будут сертифицированы новые методы упаковки чипов, которые будут использоваться в автомобильном сегменте с его повышенными требованиями к надёжности и безопасности. Интеграция кремниевой фотоники тоже будет эволюционировать и к 2026 году обеспечит прямую интеграцию оптических соединений на уровне упаковки полупроводниковых чипов.

На востоке Тайваня была зарегистрирована новая серия сильных подземных толчков

Третьего апреля в окрестностях Хуаляня на востоке Тайваня произошло сильнейшее за предыдущие 25 лет землетрясение магнитудой 7,2 балла, но статистика подобных явлений говорит о неизбежности повторения толчков меньшей магнитуды, и они наблюдались в этой местности как в понедельник, так и утром во вторник. Сильнейшие из произошедших колебаний минувшей ночью достигли 6 баллов, власти распорядились закрыть школы и учреждения Хуаляня для посещения на один день.

Повторные толчки в подобных ситуациях являются обычным делом, поскольку тектонические плиты, движение которых вызывает землетрясения, избавляются от накопившегося напряжения поэтапно. С пяти вечера понедельника, как сообщает Focus Taiwan со ссылкой на сейсмологические службы острова, до 6:10 утра вторника были зарегистрированы 93 толчка магнитудой более 4 баллов, 23 из них достигали магнитуды 5 баллов. Самые сильные толчки ощущались на всей территории Тайваня, но с пропорционально ослабевающей интенсивностью.

В результате подобной активности в центре Хуаляня частично разрушились и покосились два здания. В одном из них проводился ремонт, а другое было признано аварийным после землетрясения третьего апреля, внутри на момент повторных толчков никого не было, человеческих жертв удалось избежать. Жертвами землетрясения 3 апреля на Тайване стали 17 человек, ранены около 1100 местных жителей. По словам специалистов, обычно повторные толчки случаются в течение трёх первых недель после серьёзных землетрясений, но с учётом магнитуды имевшего место 3 апреля землетрясения, приходится рассчитывать на более продолжительную вторичную сейсмическую активность.

В тех районах Тайваня, где сосредоточены предприятия TSMC по обработке кремниевых пластин, магнитуда толчков с начала этой недели не превышала одного или двух баллов. С учётом опыта предыдущего землетрясения в начале месяца, подобные явления особой угрозы для предприятий компании представлять не могли. Напомним, что ущерб от случившегося 3 апреля землетрясения TSMC оценила в $92 млн, но тогда магнитуда толчков в местах расположения предприятий компании достигала 4 баллов.

ASML в обмен на субсидии властей решилась на расширение в Нидерландах

С начала этого года обсуждалась ситуация с иммиграционным законодательством в Нидерландах, которая препятствует гармоничному развитию бизнеса ASML. Слухи приписывали компании желание начать расширение за пределами родной страны, а власти пытались её переубедить. Теперь стало ясно, что это будет сделано за счёт субсидий на сумму 2,5 млрд евро.

 Источник изображения: ASML

Источник изображения: ASML

Компания, как сообщает Bloomberg, подписала с властями Нидерландов соглашение о намерениях, которое подразумевает последующее развитие бизнеса в окрестностях нынешней штаб-квартиры в Эйндховене на юге страны. К 2030 году ASML намеревается удвоить свои производственные мощности, поскольку рассчитывает на рост спроса на выпускаемое литографическое оборудование в связи с наблюдаемым бумом в сфере систем искусственного интеллекта.

ASML предпочитает сохранять свои ключевые виды активности как можно ближе к уже существующим предприятиям в Велдховене к юго-западу от штаб-квартиры. В свою очередь, власти страны должны будут, по её мнению, обеспечить доступ к адекватным энергетическим ресурсам, дорожной сети и жилью для сотрудников, а также позаботиться об их образовании. Именно на эти цели и будут направлены те 2,5 млрд евро, которые чиновники решились выделить для удержания ASML на исторической родине. Инициатива по «приземлению» ASML была предпринята властями с учётом неприятного опыта переноса штаб-квартир корпораций Unilever и Shell из Нидерландов в Великобританию.


window-new
Soft
Hard
Тренды 🔥
Новая функция Google для iPhone позволяет имитировать «Круг для поиска» Android 60 мин.
США захотели ограничить доступ России и Китая к GPT-4 и другим мощным моделям ИИ 6 ч.
Глава разработки Kingdom Come: Deliverance 2 рассказал, как игра работает на его ПК с Ryzen 9 7950X3D и RTX 4080 Super 7 ч.
Новая Perfect Dark не выйдет из тени в ближайшее время — игра до сих пор «в очень плохом состоянии» 8 ч.
Stack Overflow передаст свой контент для обучения ChatGPT, хотят того пользователи или нет 10 ч.
Готический шутер Trench Tales в эстетике Первой и Второй мировых войн от художника Prey появился на Kickstarter 12 ч.
Скандальная криптобиржа FTX вернёт средства почти всем клиентам — даже с процентами 12 ч.
Путин поручил поставить на контроль образ России в видеоиграх 14 ч.
ФСТЭК России разработала методику оценки защищённости объектов критической информационной инфраструктуры 15 ч.
Слухи: майская презентация PlayStation Showcase реальна и «может пройти в любой момент» 15 ч.
Huawei представила 14-дюймовый ноутбук MateBook 14 2024 с Intel Meteor Lake и сенсорным OLED-экраном 58 мин.
AMD отбирает долю рынка у Intel благодаря спросу на процессоры EPYC и Ryzen 2 ч.
Возобновляемые источники заняли треть в мировой выработке электроэнергии — закат эры ископаемого топлива всё ближе 4 ч.
Космический аппарат TESS возобновил поиск экзопланет после серии сбоев 5 ч.
Новая статья: ИИтоги апреля 2024 г.: парад моделей — и не только 7 ч.
Intel ожидает, что запрет на поставки процессоров для Huawei не сильно ударит по выручке 9 ч.
SoftBank не прочь приобрести тонущего разработчика ИИ-чипов Graphcore 11 ч.
Беспилотные грузовики Daimler появятся на дорогах общего пользования в 2027 году 12 ч.
Apple продаст всего 4,5–5 млн новых iPad Pro в этом году, а OLED-дисплеи скоро подешевеют, считают аналитики 12 ч.
Россияне смогут купить новые iPad Pro и Air в день старта мировых продаж, но цена достигнет 500 тыс. рублей 12 ч.