Сегодня 12 июня 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → роадмап

Вплоть до 1,6 нм: за два следующих года TSMC освоит четыре новых техпроцесса

На прошлой неделе представители TSMC заявили, что до конца текущего года компания начнёт серийное производство чипов по технологии N3P, и это подтолкнуло сотрудников ресурса AnandTech обобщить всю доступную о ближайших планах компании информацию в одной таблице. В 2025 и 2026 годах TSMC намерена внедрить четыре новых техпроцесса.

 Источник изображения: TSMC

Источник изображения: TSMC

Непосредственно на следующий год запланировано освоение техпроцессов N3X и N2, причём данные события будут сосредоточены во второй половине 2025 года, если всё пойдёт по графику. В какой-то мере техпроцессы N3X и N2 будут конкурировать друг с другом за предпочтения клиентов TSMC. Первый должен снизить уровень энергопотребления на 7 % относительно N3P, который будет освоен во второй половине текущего года. Скорость переключения транзисторов вырастет на 5 % при напряжении 1,2 В при прежней плотности размещения транзисторов, а последний показатель увеличится в 1,1 раза при неизменной тактовой частоте.

 Источник изображения: AnandTech

Источник изображения: AnandTech

Техпроцесс N2 обещает снизить энергопотребление на 25–30 % относительно N3E, который освоен с четвёртого квартала прошлого года. При этом скорость переключения транзисторов вырастет на 10–15 %, а плотность их размещения вырастет в 1,15 раза. Такой же прирост по плотности обеспечит относительно N3E техпроцесс N2P, который будет освоен во второй половине 2026 года, а вот выигрыш по энергопотреблению увеличится до 30–40 %, тогда как скорость переключения транзисторов вырастет на 15–20 %. Другими словами, прямое сравнение N2 и N2P обеспечит не такую уж заметную выгоду по энергопотреблению (5–10 %) и быстродействию (5–10 %), а плотность размещения транзисторов и вовсе останется неизменной.

В рамках техпроцесса N2 компания TSMC впервые внедрит структуру транзисторов с нанолистами и окружающим затвором (GAA). Это должно значительно улучшить производительность, снизить энергопотребление и увеличить плотность размещения транзисторов. Конкурирующий техпроцесс N3X может превзойти N2 по быстродействию, особенно на более высоких напряжениях. Кому из клиентов TSMC технология N3X может больше понравиться в виду отсутствия изменений в структуре транзисторов (FinFET), что должно благоприятно сказаться на уровне брака.

На 2026 год у TSMC запланировано освоение техпроцессов N2P и A16. Последний будет ориентированной на повышение быстродействия версией N2, а второй предложит приписываемые 1,6-нм технологиям характеристики в сочетании с подводом питания с оборотной стороны кремниевой пластины. N2P может предложить либо сниженное на 5–10 % энергопотребление при неизменном быстродействии, либо возросшую пропорционально производительность при неизменном энергопотреблении по сравнению с базовым N2.

Техпроцесс A16 готов предложить снижение энергопотребления на 20 % относительно N2P, либо возросшее на 10 % быстродействие при тех же уровнях энергопотребления. Плотность размещения транзисторов A16 позволит увеличить на 10 % относительно N2P. В чипах, ориентированных на высокую производительность, техпроцесс A16 раскроет себя с лучшей стороны, но подвод питания с оборотной стороны кремниевой пластины сделает его достаточно дорогим в производстве.

Техпроцесс Intel 10A будет освоен к концу 2027 года

Представители ресурса Tom’s Hardware присутствовали на мероприятии Intel Foundry Direct Connect, и уже после его завершения получили разрешение компании на публикацию части информации о будущих планах Intel, которая была раскрыта клиентам и партнёрам процессорного гиганта. Как выясняется, к концу 2027 года компания рассчитывает начать выпуск продукции по новейшему техпроцессу Intel 10A.

 Источник изображений: Tom's Hardware

Источник изображений: Tom's Hardware

Если учесть, что до этого обсуждалась лишь возможность выпуска продукции по технологии Intel 14A, то следующую ступень литографии (Intel 10A) можно условно сопоставить с 1-нм техпроцессом, хотя сама Intel подобных параллелей старательно избегает. По уточнённым данным, выпуск чипов по технологии Intel 14A компания планирует начать ещё в 2026 году, поэтому у неё будет примерно год на последующее освоение технологии Intel 10A. По всей видимости, последняя будет подразумевать не только использование EUV-литографии с высоким значением числовой апертуры (High-NA), но и структуры транзисторов с окружающим затвором (GAA) и технологии подвода питания с оборотной стороны печатной платы.

Какой прогресс с точки зрения плотности размещения транзисторов, скорости их переключения и снижения энергопотребления технология Intel 10A обеспечит в сравнении с предшественницей, сейчас не уточняется, но ранее глава компании Патрик Гелсингер (Patrick Gelsinger) отмечал, что на нынешнем этапе развития литографии разница между соседними ступенями техпроцессов измеряется 14–15 %, если говорить о соотношении производительности и энергопотребления. В любом случае, Intel рассчитывает на двузначный прирост в процентах, говоря о прогрессе техпроцесса 10A.

В ближайшие годы Intel будет заниматься активной экспансией производства чипов по технологиям, подразумевающим использование EUV-литографии, а доля техпроцессов с нормами 10 нм (Intel 7) и ниже будет неуклонно снижаться, хотя технически даже в 2030 году компания будет выпускать некоторое количество продукции по техпроцессам старше 14 нм.

Попутно будет развиваться бизнес по тестированию и упаковке чипов, услугами которого смогут пользоваться даже те компании, которые не заказывают у Intel непосредственно обработку кремниевых пластин с чипами. От классических технологий упаковки Intel откажется полностью, доверив подобную работу сторонним подрядчикам, а сама будет использовать имеющиеся мощности только для продвинутых методов упаковки чипов, поскольку это выгоднее экономически.

В сфере производства чипов выход Intel на контрактный рынок позволит компании увеличить жизненный цикл каждого техпроцесса и период окупаемости. Одновременно за счёт эффекта масштаба производства будет снижаться себестоимость продукции. В ближайшие пять лет Intel собирается потратить $100 млрд на расширение имеющихся производственных мощностей и строительство новых. Отмечается, что выпуск продукции по технологии Intel 18A (в том числе, для сторонних заказчиков) на предприятиях Fab 52 и Fab 62 в Аризоне начнётся в 2025 году, хотя со временем этот же техпроцесс должны освоить и строящиеся предприятия в штате Огайо. На слайде презентации сроки их ввода в эксплуатацию не указаны, что лишь подливает масла в огонь слухов о задержке с реализацией данного проекта. Первоначально техпроцесс Intel 18A будет осваиваться в Орегоне, где у компании есть исследовательский центр и экспериментальная производственная линия. Это позволит начать выпуск чипов по технологии Intel 18A до конца текущего года.

Какое из предприятий Intel в будущем освоит выпуск продукции по техпроцессу 10A, не уточняется. Ещё одним важным направлением стратегического развития Intel станет внедрение технологий искусственного интеллекта на производстве. В этом десятилетии на предприятиях компании появятся так называемые «коботы» (англ. cobot) — роботы, способные сосуществовать на конвейере с людьми. К началу следующего десятилетия масштабы внедрения искусственного интеллекта при производстве чипов Intel достигнут такого уровня, что позволят автоматизировать не только сам выпуск продукции, но и планирование объёмов производства. К концу текущего десятилетия Intel рассчитывает стать вторым по величине контрактным производителем чипов в мире.

Память HBM4 дебютирует только в 2026 году, а ещё через год появятся 16-слойные стеки

До сих пор единственным поставщиком микросхем HBM3 для нужд NVIDIA оставалась южнокорейская компания SK hynix, но в случае с HBM3e конкурирующая Micron Technology начала снабжать NVIDIA образцами своей продукции к концу июля, поэтому борьба за место на рынке в этом сегменте памяти будет ожесточённой. К 2026 году на рынок будет готова выйти память типа HBM4, которая годом позднее увеличит количество слоёв с 12 до 16 штук.

 Источник изображения: SK hynix

Источник изображения: SK hynix

Ближайшая перспектива в эволюции памяти типа HBM3e, как поясняет TrendForce — это выход 8-слойных микросхем, которые к первому кварталу следующего года должны пройти сертификацию NVIDIA и прочих клиентов, а затем перейти в фазу серийного производства. Micron Technology в этой сфере слегка опережает SK hynix, предоставив свои образцы для тестирования на пару недель раньше, а вот Samsung успела сделать это только к началу октября. Память типа HBM3e способна обеспечить скорость передачи информации от 8 до 9,2 Гбит/с, восьмислойные микросхемы объёмом 24 Гбайт будут выпускаться по техпроцессам класса 1-альфа (Samsung) или 1-бета (SK hynix и Micron). Их серийное производство к середине следующего года наладят все три компании, но две последних рассчитывают это сделать к началу второго квартала.

Во многом этот график будет определять ритмичность выхода новых ускорителей вычислений NVIDIA. В следующем году компания наладит поставки ускорителей H200 с шестью микросхемами HBM3e, до конца того же года выйдут ускорители B100 уже с восемью микросхемами HBM3e. Попутно будут выпускаться гибридные решения с центральными процессорами с Arm-совместимой архитектурой, именуемые GH200 и GB200.

Источник изображения: TrendForce

Конкурирующая компания AMD, по данным TrendForce, в 2024 году сосредоточится на использовании памяти типа HBM3 в семействе ускорителей Instinct MI300, а переход на HBM3e прибережёт для более поздних Instinct MI350. Тестирование памяти на совместимость в этом случае начнётся во второй половине 2024 года, а фактические поставки микросхем HBM3e для AMD стартуют не ранее первого квартала 2025 года.

Представленные во второй половине прошлого года ускорители Intel Habana Gaudi 2 ограничиваются использованием шести стеков HBM2e, преемники серии Gaudi 3 к середине следующего года увеличат количество стеков до 8 штук, но останутся верны использованию микросхем HBM2e.

Память типа HBM4 будет представлена только в 2026 году, она предложит использование 12-нм подложки, которая будет изготавливаться контрактными производителями. Количество слоёв в одном стеке памяти будет варьироваться между 12 и 16 штуками, причём микросхемы последнего типа появятся на рынке не ранее 2027 года. Впрочем, Samsung Electronics демонстрирует намерения представить HBM4 уже в 2025 году, наверстав упущенное по сравнению с предыдущими поколениями микросхем памяти этого класса.

В ближайшие годы сформируется и тренд на индивидуализацию дизайна решений с использованием памяти типа HBM. В частности, некоторые разработчики рассматривают возможность интеграции чипов такой памяти непосредственно на кристаллы с вычислительными ядрами. По крайней мере, NVIDIA подобные намерения уже приписываются, и именно в отношении микросхем типа HBM4.

Настольных Meteor Lake всё же не будет — Intel предложит мобильные чипы для настольных мини-ПК и моноблоков

Недавние заявления руководства Intel о готовности в следующем году представить версии процессоров Meteor Lake для настольных систем противоречили укоренившемуся до этого представлению о том, что они выйдут только в мобильном сегменте. Теперь ресурс ComputerBase выяснил, что на полноценное распространение процессоров Meteor Lake в настольном сегменте клиентам всё-таки рассчитывать не приходится.

 Источник изображения: Intel, ComputerBase

Источник изображения: Intel, ComputerBase

Обратившись за комментариями к другим представителям Intel, немецкие журналисты получили размытый ответ, что в отношении планов компании по распространению процессоров Meteor Lake ничего не изменилось. В публичной части комментария Intel говорилось буквально следующее: «Meteor Lake является энергоэффективной архитектурой, на основе которой будут создаваться инновационные мобильные и настольные системы, включая решения формфактора "всё в одном" (AIO). Мы поделимся более подробной информацией в будущем».

Немецкие коллеги пришли к выводу, что в действительности Intel рассчитывает предлагать ориентированные преимущественно на мобильный сегмент процессоры Meteor Lake и в настольном сегменте, но исключительно в компактных системах типа NUC, производство которых Intel делегировала партнёрам окончательно, а также моноблоках, сочетающих монитор и компьютер в одном компактном корпусе. Для таких целей в большинстве случаев сгодятся и распаиваемые на печатную плату процессоры в исполнении BGA, что не исключает окончательно появления модификаций Meteor Lake для установки в процессорный разъём.

Во второй половине следующего года должны будут появиться процессоры Arrow Lake в исполнении LGA 1851, которые будут выпускаться по технологии Intel 20A. Они как раз должны получить максимальное распространение в настольном сегменте, и сочетаться с привычными пользователям полноразмерными материнскими платами. Для работы с этими процессорами будет предназначен чипсет Intel Z890, помимо прочих. Процессоры Lunar Lake, которые тоже выйдут к концу 2024 года, будут ориентированы на мобильные системы с минимальным уровнем энергопотребления. Такие чипы будут выпускаться уже с использованием техпроцесса Intel 18A.

К 2030 году половина продаваемых Subaru машин будут электромобилями

Toyota Motor является акционером и технологическим партнёром Subaru, поэтому свою стратегию электрификации эта небольшая японская компания строит с оглядкой на «старшую сестру». Первый серийный электромобиль Subaru получил обозначение Solterra и оказался почти полным клоном кроссовера Toyota bZ4X. К концу десятилетия Subaru доведёт долю электромобилей до 50 % в структуре продаж, а к 2028 году выпустит восемь новых моделей электромобилей.

 Источник изображения: Subaru

Источник изображения: Subaru

Как поясняет Electrek, обнародованная на днях новая стратегия электрификации ассортимента выпускаемых легковых автомобилей Subaru отличается от предыдущей более высокими амбициями. Ранее ожидалось, что к 2030 году компания просто доведёт долю гибридов и электромобилей до 40 % своей производственной программы, сохранив за машинами с ДВС оставшиеся 60 %. Теперь на 50 % ассортимента в 2030 году претендуют «чистокровные» электромобили Subaru.

В 2025 году, как стало известно недавно, японский производитель наладит на предприятии в штате Кентукки выпуск трёхрядного электрического кроссовера, который по своим компоновочным возможностями наверняка будет близок к предпочтениям местных покупателей. В натуральном выражении объёмы продаж электромобилей Subaru к 2030 году достигнут 600 000 машин в год. США являются для этой японской марки важнейшим рынком, здесь реализуется 70 % продукции, а потому уже в 2028 года она рассчитывает продавать на местном рынке по 400 000 электромобилей.

Собственное производство электромобилей Subaru развернёт только в 2025 году, причём в США это произойдёт ещё двумя годами позднее. Специализированные предприятия Subaru по производству электромобилей будут введены встрой только в 2027 году. Тот же Solterra пока собирается на предприятии Toyota в Японии. В США компания за первые семь месяцев этого года смогла реализовать 3730 кроссоверов Solterra, но они составили не более 1 % общего объёма продаж машин этой марки в регионе.

На переоснащение предприятий и строительство новых с целью выпуска электромобилей до конца десятилетия будет выделено $10,4 млрд. Примерно одна шестая часть этой суммы будет направлена на Японию. До конца 2026 года компанию кроссоверу Solterra составят ещё три модели электромобилей данного класса. К 2028 году ассортимент электромобилей Subaru будет расширен до восьми моделей. Во второй половине десятилетия Subaru при участии Panasonic рассчитывает наладить в Японии выпуск тяговых аккумуляторов цилиндрического типа для своих электромобилей.

Последний жёсткий диск Seagate с перпендикулярной записью получит объём 24 Тбайт

Жёсткие диски большого объёма ещё долго будут востребованы в облачных системах хранения данных, поэтому компания Seagate Technology свои планы по их эволюционному развитию строит на годы вперёд. Как следует из этих планов, технология перпендикулярной магнитной записи изживёт себя после выпуска жёсткого диска объёмом 24 Тбайт, затем будет использоваться технология «черепичной записи», а магнитная запись с подогревом (HAMR) подарит жизнь жёстким дискам объёмом от 32 до 50 Тбайт.

 Источник изображения: Seagate Technology

Источник изображения: Seagate Technology

Об этом, по словам ресурса Tom’s Hardware, стало известно из высказываний финансового директора Seagate Technology Джанлуки Романо (Gianluca Romano) на технологической конференции Bank of America. Он не стал уточнять, когда серийные жёсткие диски с технологией подогрева при записи начнут поставляться за пределы узкого круга облачных клиентов и гиперскейлеров, но из ранних заявлений представителей компании известно, что это может случиться уже в третьем квартале текущего года.

По словам представителя Seagate, жёсткие диски поколения HAMR объёмом 32 Тбайт будут использовать десять магнитных пластин и двадцать головок. Их преемники объёмом 36 Тбайт сохранят аналогичную техническую компоновку, как и модели объёмом 40 Тбайт. Даже к выпуску накопителей объёмом 50 Тбайт компания начинает готовиться уже сейчас, поскольку в её лабораториях существует образец магнитной пластины, способной хранить до 5 Тбайт информации.

Жёсткие диски объёмом 24 Тбайт компания выпустит в ближайшие месяцы, и они будут использовать традиционную уже технологию перпендикулярной магнитной записи. Следующим шагом станет появления жёстких дисков объёмом 28 Тбайт, но они уже будут опираться на технологию так называемой «черепичной» магнитной записи. По сути, переход к HAMR в массовых количествах состоится после достижения жёсткими дисками Seagate объёмов свыше 30 Тбайт.

Всё идёт по плану: Intel подтвердила выпуск Meteor Lake в этом году, а в следующем у неё будут образцы Lunar Lake

Со слов генерального директора Intel Патрика Гелсингера (Patrick Gelsinger) можно было понять, что даже в сложных макроэкономических условиях компания не собирается сокращать затраты на достижение стратегических целей. Выпуск чипов по технологии Intel 18A к 2025 году относится к их числу, а в целом на своём пути к возвращению технологического лидерства она движется либо в соответствии с графиком, либо опережая его — новые техпроцессы и чипы будут выходить по плану.

 Источник изображения: Intel

Источник изображения: Intel

За четыре года, напомним, Intel обязалась освоить пять новых техпроцессов. Как подчеркнул Патрик Гелсингер, техпроцесс Intel 7 уже используется для массового производства как клиентских процессоров, так и серверных. Последнее уточнение особенно важно, ведь мы помним, что выход семейства Sapphire Rapids неоднократно задерживался, но недавно всё же состоялся.

К выпуску процессоров по технологии Intel 4 у компании тоже почти всё готово. Во втором полугодии начнётся массовое производство процессоров семейства Meteor Lake, которые будут использовать данную ступень литографии. Важно помнить, что впервые в рамках технологий Intel 4 и Intel 3 компания начнёт использовать литографию со сверхжёстким ультрафиолетовым излучением (EUV). По словам главы Intel, это станет «важным шагом вперёд к увеличению удельной производительности транзисторов в пересчёте на ватт потребляемой электроэнергии и плотности размещения транзисторов».

О сроках запуска производства процессоров по технологии Intel 3 руководство компании ничего конкретного не сообщило, но отметило, что этот техпроцесс «демонстрирует отличную форму и готовится к внедрению в соответствии с графиком». Именно в рамках техпроцесса Intel 3 контрактное подразделение компании начнёт выпуск неких компонентов для кого-то из «облачных гигантов», которые они сами и разработали.

Как подчеркнул Гелсингер, тестовые чипы, выпущенные по технологиям Intel 20A и Intel 18A, уже функционируют в лабораториях компании, и среди них есть прототип изделия для какого-то крупного клиента на контрактном направлении бизнеса. Ранее сообщалось, что подобными техпроцессами Intel интересуются заказчики из оборонной отрасли США. Выпуск таких компонентов будет налажен на строящихся в штате Огайо предприятиях к 2025 году. Там же найдёт применение и передовое литографическое оборудование ASML с высоким значением числовой апертуры.

Глава Intel добавил, что в контрактном бизнесе компания ведёт переговоры с 7 из 10 крупнейших разработчиков чипов, не обладающих собственными производственными мощностями. Заказать изготовление тестовых чипов у Intel уже готовы 43 клиента, хотя публично компания в этом контексте пока упоминает преимущественно MediaTek. В ближайшие недели клиенты Intel смогут получить инструментарий PDK финальной версии для работы над созданием продуктов, которые в дальнейшем будут выпускаться на предприятиях компании по техпроцессу Intel 18A.

Получив квалификационные образцы процессоров семейства Sapphire Rapids в третьем квартале прошлого года, компания анонсировала серийные модели Xeon соответствующих серий 10 января текущего года. В какой-то мере это позволяет судить о сроках анонса процессоров семейства Lunar Lake, поскольку глава Intel проговорился, что в 2024 году компания будет располагать только инженерными образцами таких чипов. До сих пор считалось, что они будут отличаться сверхнизким энергопотреблением и уровнем TDP не более 15 Вт, а в мобильном сегменте появятся уже после Meteor Lake и Arrow Lake. Цифровые проекты Lunar Lake уже готовы, как пояснил глава компании. Это семейство, по его словам, оптимизировано для сверхнизкого энергопотребления, что «позволит нашим партнёрам на рынке ПК создавать сверхтонкие и лёгкие системы для мобильных пользователей».

Во второй половине этого года начнётся выпуск не только клиентских процессоров Meteor Lake, но и серверных Emerald Rapids. Образцы последних уже поставляются клиентам и функционируют в составе тестовых систем. Если вернуться к семейству Sapphire Rapids, то к середине текущего года Intel рассчитывает поставить миллион экземпляров. В следующем году появятся их преемники в лице процессоров Granite Rapids. Тогда же появится и специализированное семейство серверных процессоров Sierra Forrest, построенных на энергоэффективных ядрах. В текущем квартале своим планам и успехам в серверном сегменте Intel посвятит отдельное мероприятие.

Если вернуться к обсуждению успехов Intel на контрактном направлении, то можно упомянуть наличие у неё портфеля заказов на общую сумму $4 млрд. В этом году, как поясняет руководство, он пополнится новыми заказами от крупных клиентов, заинтересованных в использовании техпроцессов Intel 16, Intel 3 и Intel 18A.


window-new
Soft
Hard
Тренды 🔥
Второй сезон сериала «Аркейн» по League of Legends станет последним — вышел новый трейлер 60 мин.
Еженедельный чарт Steam: ролевой экшен Black Myth: Wukong и шутер с видом из носимой камеры Bodycam ворвались в топ-5 2 ч.
Рандеву со смертью: новый трейлер раскрыл дату выхода олдскульного хоррора Conscript о событиях Верденской мясорубки 4 ч.
Adobe поменяет пользовательское соглашение на фоне скандала с доступом к контенту 6 ч.
«Группа Астра» увеличила выручку в I квартале на 43 % 6 ч.
Apple пообещала, что её ИИ обеспечит «прозрачную и проверяемую защиту любых пользовательских данных» 6 ч.
Apple рассказала, какие iPhone, iPad и Mac получат обновления до iOS 18, iPadOS 18 и macOS 15 6 ч.
Элвис Пресли может вернуться на сцену в виде цифрового аватара — его захотела создать шведская Pophouse 7 ч.
Хакеры похитили у Snowflake массив данных 165 компаний — затронуты сотни миллионов людей по всему миру 7 ч.
Не прошло и двух дней: моддер добавил в классическую Doom щит-пилу и измельчитель черепов из Doom: The Dark Ages 8 ч.
Новая статья: Обзор смартфона HUAWEI Pura 70 Pro: как по методичке 9 мин.
Будущее настольных ПК: MSI, Asus и ASRock заинтересовались применением памяти CAMM2 в десктопах 2 ч.
Netgear представила доступные сетевые устройства с Wi-Fi 7 — роутер за $330 и Mesh-систему за $700–1000 2 ч.
Не только Windows: Tuxedo анонсировала ноутбук с Linux и процессором Snapdragon X Elite 2 ч.
Apple создала кастомные серверы и ОС для безопасного ИИ-облака 3 ч.
Забрезжил свет: Atos выбрала партнёром по выходу из кризиса консорциум во главе с Onepoint — IT-гигант останется под контролем Франции 3 ч.
AMD подарит до двух игр покупателям видеокарт Radeon RX 7800 XT и RX 7700 XT 5 ч.
SK hynix показала чипы памяти GDDR7 на выставке Computex, но массовое производство начнёт только в 2025 году 5 ч.
Nvidia в прошлом году захватила 98 % рынка графических процессоров для ЦОД — поставки достигли 3,76 млн единиц 5 ч.
Foxconn построит на Тайване передовой вычислительный центр на базе суперускорителей NVIDIA Blackwell 8 ч.