Сегодня 12 июня 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → 7 нм

Китай официально подтвердил, что располагает полностью локализованным 14-нм техпроцессом

Китайские власти на официальном уровне подтвердили, что страна имеет необходимые производственные мощности для крупносерийного выпуска современных чипов по 14-нм техпроцессу. Объявление было сделано представителем шанхайской муниципальной администрации, который акцентировал внимание на том, что китайские передовые высокотехнологические компании сосредоточены в Шанхае.

 Bloomberg

Bloomberg

Идея выступления чиновника состояла в том, что Шанхай стал передовым технологическим центром, с помощью которого Китай способен двигаться вперёд в развитии полупроводниковой отрасли, несмотря на наложенные со стороны США санкции. Как сказал Ву Цзиньчэн (Wu Jincheng), директор Шанхайской муниципальной комиссии по экономике и цифровизации, в городе есть фирмы, способные серийно выпускать 14-нм чипы, производить 90-нм литографические машины, собирать установки для травления с разрешением 5-нм и изготавливать кремниевые пластины диаметром 300 мм. Всего этого, по мнению китайского чиновника, вполне достаточно для производства центральных процессоров и чипов 5G полностью своими силами.

Аналитики указывают, что это первый раз, когда китайские власти на официальном уровне признали способность страны массово выпускать 14-нм чипы, не прибегая ни к каким импортным технологиям. По всей видимости, это заявление было сделано в качестве ответа на усилившуюся в последнее время активность властей США по введению новых антикитайских санкций. В частности, недавно Министерство торговли США ввело запрет на поставки в Китай оборудования, которое может применяться для изготовления полупроводников по нормам менее 14 нм.

Хотя 14-нм техпроцесс и кажется довольно далёким от современных рубежей, эта технология может удовлетворить большинство потребностей Китая, говорят эксперты. Крупномасштабное производство по этим нормам вне всяких сомнений будет востребовано местной автомобильной промышленностью, а также в сегменте интернета вещей.

При этом никто не отрицает, что китайские специалисты ведут исследования по усовершенствованию имеющихся техпроцессов, и в скором времени может оказаться, что Китай имеет доступ и к более современным производственным нормам. Например, ранее заявлялось, что китайский контрактный производитель SMIC приступил к выпуску ASIC-чипов для майнеров биткоина по техпроцессу 7 нм. Независимый анализ этих чипов выявил, что по геометрическим размерам затвора транзисторов они находятся где-то между 10- и 14-нм чипами TSMC, но плотность размещения этих транзисторов действительно ближе к 7-нм техпроцессу. И это значит, что от китайских производителей полупроводников можно ожидать любых сюрпризов, и не факт, что санкционное давление сможет застопорить их прогресс.

TSMC начнёт массовый выпуск чипов по усовершенствованному 3-нм техпроцессу в 2023 году

Компания TSMC подтвердила, что переход на массовое производство чипов согласно передовым технологическим процессам будет проводиться согласно графику. Разработка усовершенствованного 3-нм техпроцесса N3E идёт гладко. Первые коммерческие продукты на его основе ожидаются в следующем году. Продукты на базе основного 3-нм техпроцесса N3 начнут массово выпускаться в этом году.

 Источник изображения: TSMC

Источник изображения: TSMC

Тестовый выпуск чипов согласно нормам 3 нм был запущен в прошлом году. Сейчас же TSMC массово производит чипы согласно техпроцессу 5 нм, на базе которого этой осенью ожидается выход на рынок большого числа различных потребительских продуктов. По словам тайваньской компании, выпуск чипов по нормам 5 нм принёс ей 21 % от общей выручки во втором квартале этого года.

Одной из главных особенностей узла N3 является технология FinFlex, которая должна увеличить для заказчиков привлекательность чипов, выпускаемых компанией. Суть технологии состоит в том, что производитель позволит использовать разные виды FinFET-транзисторов в рамках одного полупроводникового кристалла. В конце августа глава компании Си-Си Вэй (C.C. Wei) сообщил, что TSMC столкнулась со множеством сложностей при разработке 3-нм техпроцесса. Однако совсем скоро начнётся массовый выпуск чипов на его основе, и многие клиенты компании этого очень ждут.

В то же время в TSMC подтвердили, что в 2025 году планируют начать выпуск кремниевых пластин с использованием 2-нм техпроцесса производства. Для этого компания построит новый завод на территории Научного парка Синьчжу. Подготовка инфраструктуры для новой фабрики уже началась.

В рамках 2-нм техпроцесса TSMC будет выпускать микросхемы с архитектурой транзистора с круговым затвором Gate-All-Around (GAA). Прогнозируется, что TSMC выйдет на массовое производство чипов на основе 2-нм техпроцесса раньше, чем это сделают южнокорейский гигант Samsung Electronics и американская компания Intel. По мнению аналитиков, тайваньская компания в 2024 году станет первым производителем чипов, который задействует новое оборудование для литографии в глубоком ультрафиолете (EUV) с высокой числовой апертурой.

Согласно прогнозам, чипы на базе 2-нм техпроцесса будут на 10–15 % быстрее микросхем на базе узла N3E при том же уровне энергопотребления или на 25–30 % энергоэффективнее при той же тактовой частоте работы.

Из-за высокого спроса на передовые микросхемы производственные мощности TSMC по-прежнему загружены на 100 %. Компания ожидает, что это продлится как минимум до конца текущего года. В то же время многие соглашаются, что полупроводниковой отрасли сейчас приходится проводить корректировку запасов микросхем в связи со снижающимся потребительским спросом на различную бытовую технику.

Эксперимент с модернизированной микроволновкой помог приблизить TSMC к освоению 2-нм производства чипов

Исследователи из Корнеллского инженерного колледжа смогли на основе обычной, слегка модернизированной микроволновой печи показать возможность создания полупроводниковых материалов для выпуска нового поколения чипов. Эксперимент проводился на обычной бытовой микроволновке по заказу компании TSMC. В дальнейшем этот тайваньский чипмейкер может внедрить предложенный техпроцесс при производстве 2-нм чипов.

 Источник изображения: Ryan Young/Cornell University

Источник изображения: Ryan Young/Cornell University

Для подготовки полупроводниковых материалов к производству по мере снижения масштаба технологических норм необходимо всё более сильное легирование кристаллического кремния. Но кремний не резиновый, и по мере насыщения сторонними добавками кристаллическая структура искажается до риска разрушения. При этом процесс легирования сопровождается отжигом — нагревом смесей до очень высоких температур, чтобы добавленное вещество, в частности фосфор, равномерно распределилось по кремнию.

С определённого момента в кремний нельзя привычным образом вместить ещё больше фосфора, что требуется для повышения электронной проводимости для более мелких масштабов. Обычное нагревание не позволяет создавать однородные смеси и говорить о стабильности таких полупроводников нельзя.

«Нам нужны концентрации фосфора, превышающие его равновесную растворимость в кремнии. Это противоречит природе, — сказал один из авторов исследования. — Кристалл кремния расширяется, вызывая огромную деформацию и делая его потенциально бесполезным для электроники».

В своё время специалисты TSMC предположили, что для активации избыточных легирующих элементов можно использовать микроволны, но, как и в бытовых микроволновых печах, которые иногда неравномерно нагревают пищу, предыдущие микроволновые печи для отжига создавали «стоячие волны», что мешало последовательной активации легирующих элементов. Поэтому TSMC в сотрудничестве с учёными Корнеллского инженерного колледжа модифицировали микроволновую печь, чтобы выборочно контролировать место возникновения стоячих волн. Такая точность позволяет правильно активировать легирующие элементы без чрезмерного нагрева или повреждения кремниевого кристалла.

Сделанное открытие может быть использовано для производства полупроводниковых материалов и электроники примерно к 2025 году, утверждают разработчики, которые также оформили два патента на изобретение.

«В настоящее время несколько производителей выпускают полупроводниковые материалы размером 3 нанометра, — заявляют авторы. — Этот новый микроволновый подход потенциально может позволить ведущим производителям, таким как TSMC и Samsung, снизить масштаб производства до 2 нанометров».

TSMC начнёт выпускать 3-нм чипы в сентябре

Компания TSMC начнёт массовое производство чипов по техпроцессу 3 нм (N3) в следующем месяце, сообщает издание Commercial Times. Поставки клиентам TSMC первых продуктов, выполненных на базе техпроцесса N3, ожидаются в начале следующего года.

 Источник изображений: TSMC

Источник изображений: TSMC

Обычно TSMC начинает массовое производство по новым техпроцессам весной, чтобы успеть выпустить достаточное количество чипов к запуску новых моделей iPhone от Apple, релиз которых обычно происходит в сентябре. Однако разработка узла N3 заняла больше времени, чем обычно. Именно поэтому Apple будет использовать в будущих смартфонах другой техпроцесс. Несмотря на это, именно Apple станет первым клиентом TSMC, который получит чипы, выполненные по 3-нм техпроцессу.

Технология N3 по сравнению с 5-нм N5 позволит увеличить частоты на 10–15 % при сохранении того же уровня энергопотребления или понизить энергопотребление кристаллов на 25–30 % при сохранении частоты. Также новые производственные нормы дадут возможность увеличить плотность размещения транзисторов на кристалле примерно в 1,6 раза.

Одной из главных особенностей узла N3 является технология FinFlex, которая должна увеличить для заказчиков привлекательность чипов, выпускаемых компанией. Суть технологии состоит в том, что производитель позволит использовать разные виды FinFET-транзисторов в рамках одного полупроводникового кристалла. Технология может принести пользу сложным продуктам, таким как центральные и графические процессоры. Поэтому компании Apple, AMD, Intel и NVIDIA смогут выпускать более производительные решения под определённые вычислительные задачи.

В перспективе TSMC запустит техпроцессы N3E, N3P и N3S. Первый будет представлять собой оптимизацию N3, а последние два — оптимизации N3E под различные классы применений. N3P ориентируется на высокопроизводительные чипы, а N3S — на энергоэффективные чипы с повышенной плотностью транзисторов. Оба техпроцесса запланированы к запуску на 2024 год, а N3E появится в 2023-м.

Китайская SMIC уже около года выпускает 7-нм чипы на старом оборудовании — они похожи на решения TSMC

Аналитическая компания TechInsights, которая занимается вскрытием различных чипов для их независимого анализа, выявила использование китайским производителем SMIC 7-нм технологического процесса при производстве ASIC-чипов для майнинга биткоина. До этого считалось, что SMIC массово выпускает только 14-нм чипы и экспериментирует с 12-нм техпроцессом. На практике оказалось, что компания с прошлого года массово поставляет 7-нм продукцию.

 Плата и чип. Источник изображения: TechInsights

Плата и чип ASIC-майнера. Источник изображения: TechInsights

Специалисты TechInsights приобрели для анализа чипы MinerVa производства SMIC. Исследование кремниевой структуры чипа показало, что элементы китайской микросхемы очень и очень похожи на те, которые инженеры TechInsights наблюдали при вскрытии чипов производства тайваньской TSMC. Аналитики не готовы утверждать, что SMIC скопировала технологию TSMC, но дают последней повод начать судебные разбирательства о возможной краже технологий.

Следует напомнить, что никакого сюрприза в способности SMIC выпускать условно 7-нм чипы нет (очевидно, что это маркетинговые 7 нм). Компания ещё в 2020 году сообщала, что намерена начать в 2021 году мелкосерийное производство чипов с использованием техпроцесса N+1 FinFET второго поколения. Эти чипы будут лучше 14-нм FinFET либо по производительности (+20 %), либо по энергопотреблению (-57 %). Производство чипов для майнинга биткоина, кстати, идеально подходит для обкатки новых техпроцессов, что также подтвердило вскрытие. Такие микросхемы имеют повторяющиеся структуры и содержат минимум ячеек памяти.

TSMC при переходе от техпроцесса с нормами 14 нм к нормам 7 нм обещала чуть лучше масштабировать производительность с ростом до 35 %, но дала похожую экономию по потреблению, что не позволяет изделию SMIC выглядеть хуже. При этом SMIC использует для производства классические сканеры с лазером 193 нм, а TSMC частично использует сканеры EUV с длиной волны 13,5 нм.

Вероятно, 7-нм техпроцесс SMIC обходится несколько дороже 7-нм техпроцесса TSMC за счёт большего числа экспозиций на каждый критически важный слой микросхем, но под давлением санкций это абсолютно неважно. Понимают ли это западные партнёры Китая? Очевидно, понимают, поэтому также пытаются запретить поставки в Китай 193-нм сканеров.

Samsung нашла первого клиента на свой 3-нм техпроцесс — китайского производителя ASIC-майнеров PanSemi

Компания Samsung нашла первого клиента на производство чипов по 3-нм техпроцессу, о запуске которого она сообщила на днях. Этим клиентом стала китайская компания PanSemi, выпускающая оборудование для майнинга биткоинов. Об этом сообщает издание The Elec.

 Источник изображения: Finbold

Источник изображения: Finbold

Использование передового 3-нм техпроцесса Samsung для ASIC-майнеров позволит сократить уровень энергопотребления последних на 30 % и при этом добиться примерно 15-процентной прибавки к производительности. Отмечается, что предварительные испытания новых 3-нм ASIC-майнеров уже проводятся.

Источники издания указывают, что американская компания Qualcomm тоже зарезервировала мощности Samsung для производства 3-нм полупроводниковых продуктов и договорилась с южнокорейским гигантом о том, что она получит доступ к ним в любое время, когда это будет необходимо. Qualcomm ранее уже резервировала мощности Samsung для производства чипов по 4-нм техпроцессу, однако соглашение было расторгнуто, так как южнокорейский технологический гигант так и не начал массовый выпуск таких чипов.

Передовой 3-нм техпроцесс производства основан на технологии Gate All Around FET (GAAFET, транзисторы с всеохватывающим затвором), которая отличается от традиционной технологии FinFET, применяющейся при производстве микросхем на основе 7-нм и 5-нм техпроцессов. Технология GAAFET позволяет сократить площадь транзисторов, одновременно увеличить плотность их размещения, снизить уровень энергопотребления и повысить производительность продуктов на их основе.


window-new
Soft
Hard
Тренды 🔥
В Сколково создадут передовой центр разработки отечественных видеоигр 4 ч.
Второй сезон сериала «Аркейн» по League of Legends станет последним — вышел новый трейлер 5 ч.
Еженедельный чарт Steam: ролевой экшен Black Myth: Wukong и шутер с видом из носимой камеры Bodycam ворвались в топ-5 6 ч.
Рандеву со смертью: новый трейлер раскрыл дату выхода олдскульного хоррора Conscript о событиях Верденской мясорубки 8 ч.
Adobe поменяет пользовательское соглашение на фоне скандала с доступом к контенту 10 ч.
«Группа Астра» увеличила выручку в I квартале на 43 % 10 ч.
Apple пообещала, что её ИИ обеспечит «прозрачную и проверяемую защиту любых пользовательских данных» 10 ч.
Apple рассказала, какие iPhone, iPad и Mac получат обновления до iOS 18, iPadOS 18 и macOS 15 10 ч.
Элвис Пресли может вернуться на сцену в виде цифрового аватара — его захотела создать шведская Pophouse 11 ч.
Хакеры похитили у Snowflake массив данных 165 компаний — затронуты сотни миллионов людей по всему миру 11 ч.
Выяснились глобальные цены Apple Vision Pro — дороже всего гарнитура будет стоить в Великобритании 4 ч.
Новая статья: Обзор смартфона HUAWEI Pura 70 Pro: как по методичке 5 ч.
Будущее настольных ПК: MSI, Asus и ASRock заинтересовались применением памяти CAMM2 в десктопах 6 ч.
Netgear представила доступные сетевые устройства с Wi-Fi 7 — роутер за $330 и Mesh-систему за $700–1000 6 ч.
Не только Windows: Tuxedo анонсировала ноутбук с Linux и процессором Snapdragon X Elite 6 ч.
Apple создала кастомные серверы и ОС для безопасного ИИ-облака 7 ч.
Забрезжил свет: Atos выбрала партнёром по выходу из кризиса консорциум во главе с Onepoint — IT-гигант останется под контролем Франции 7 ч.
AMD подарит до двух игр покупателям видеокарт Radeon RX 7800 XT и RX 7700 XT 9 ч.
SK hynix показала чипы памяти GDDR7 на выставке Computex, но массовое производство начнёт только в 2025 году 9 ч.
Nvidia в прошлом году захватила 98 % рынка графических процессоров для ЦОД — поставки достигли 3,76 млн единиц 9 ч.