Сегодня 29 сентября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → tsmc
Быстрый переход

Samsung решила ускорить освоение 2-нм техпроцесса, чтобы обогнать TSMC и Intel

Чисто формально Samsung Electronics не только опередила TSMC по срокам внедрения 3-нм техпроцесса на несколько месяцев, но и существенно обогнала конкурентов по срокам перехода на использование транзисторов с круговым затвором (GAAFET). Южнокорейский производитель теперь надеется опередить соперников в сфере освоения 2-нм техпроцесса, пусть и в ущерб экспансии уже запущенной 3-нм технологии.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Как сообщает DigiTimes со ссылкой на корейское издание Money Today, которое ссылается на посвящённые в планы Samsung источники, контрактное подразделение корейского гиганта сейчас сосредотачивает свои ресурсы и усилия на ускорении освоения 2-нм технологии, даже если ради этого придётся пожертвовать масштабами внедрения 3-нм техпроцесса. Использовать его в массовом производстве Samsung начала ещё с конца июня 2022 года, но отставшая где-то на полгода TSMC всё равно заполучила большее количество заказчиков на эту ступень литографии.

Отраслевые эксперты ожидают, что 2-нм техпроцесс и его аналоги получат существенное распространение только в 2025 году. Если Samsung Electronics рассчитывает стать серьёзным игроком на рынке контрактного производства чипов с использованием передовой литографии, ей нужно активно осваивать 2-нм нормы уже сейчас. По данным TrendForce, в первом квартале этого года TSMC контролировала почти 60 % мирового рынка услуг по контрактному производству чипов. Руководство Samsung хотело бы видеть компанию в статусе технологического лидера в этой сфере максимум через пять лет. Способность успешно освоить 2-нм техпроцесс будет во многом определять достижимость этой цели.

В рамках 3-нм технологии, как отмечают южнокорейские СМИ, компании TSMC и Samsung располагают сопоставимым уровнем выхода годной продукции, от 50 до 60 %, но если первая сосредоточилась на расширении производственных мощностей данного профиля, то вторая уже размышляет о переходе на 2-нм технологию. Ведётся заблаговременная работа с потенциальными клиентами, которые в будущем могли бы заказывать у неё выпуск 2-нм продукции по собственным проектам. В отличие от TSMC, компании Samsung в рамках 2-нм технологии не нужно впервые применять структуру транзисторов GAAFET, поскольку она была внедрена ещё на этапе 3-нм техпроцесса. При этом TSMC может начать тестовое производство 2-нм чипов уже в этом году, а ещё угрозу обеим старожилам рынка представляет быстрый прогресс Intel в этой сфере.

TSMC тоже получила разрешение США на бессрочные поставки оборудования на свою фабрику в Китае

Власти Южной Кореи и представители компаний SK hynix и Samsung Electronics на этой неделе подтвердили, что данные производители памяти получили от властей США право бессрочно поставлять на свои предприятия в Китае оборудование, необходимое для их модернизации, без согласования каждой партии с американскими чиновниками. Аналогичную льготу получит и тайваньская компания TSMC, у которой функционирует предприятие в Нанкине.

 Источник изображения: TSMC

Источник изображения: TSMC

По крайней мере, как утверждает The Wall Street Journal, льготный режим импорта оборудования TSMC сможет использовать на протяжении ещё одного года, и он сохранялся с октября прошлого года, когда соответствующие экспортные ограничения были введены властями США против Китая. Южнокорейские компании Samsung Electronics и SK hynix смогли получить статус «подтверждённых конечных пользователей» соответствующего оборудования, как поясняет источник. Между США и Южной Кореей в рамках данного соглашения был составлен список оборудования, которое обе компании имеют право ввозить в Китай без дополнительных согласований по каждой партии. Соответствующие договорённости не содержат упоминаний о конечном сроке их действия.

TSMC, которая заинтересована в возможности поставлять оборудование в Китай для модернизации своего предприятия в Нанкине, выпускающего 28-нм продукцию, особым режимом экспортного контроля пользуется с октября прошлого года, но осведомлённые источники поясняют, что он будет продлён ещё на год. Представители тайваньской стороны данную информацию никак не прокомментировали.

По оценкам CLSA Projects, компании Samsung и SK hynix с 2010 года вложили в свои предприятия на территории Китая около $40,7 млрд. При этом Samsung Electronics производит на территории КНР около 40 % всей памяти NAND, для SK hynix этот показатель достигает 30 %, а ещё она выпускает в этой стране до 45 % своей памяти типа DRAM. Если учесть, что Samsung, SK hynix и Micron во втором квартале контролировали сообща 96 % рынка DRAM и около 62 % мирового рынка NAND, их возможность продолжать выпуск памяти в Китае способна существенно влиять на весь мировой рынок. Именно из этих соображений власти США и предусмотрели послабления для корейских производителей памяти в сфере экспортного контроля.

Впрочем, те же эксперты CLSA поясняют, что нынешний благоприятный режим поставок оборудования в Китай для SK hynix и Samsung способен решить проблемы производителей от силы на два поколения технологий, но в дальнейшем обе компании неизбежно упрутся в эти экспортные ограничения при попытках модернизировать и расширить свои предприятия в Китае. Тем более, что нынешние послабления никак не отменяют ограничений, предъявляемых к планам компаний по расширению производства в Китае при получении американских субсидий на развитие локального производства в США. Площадь так называемых «чистых помещений» своих предприятий в Китае обе компании не смогут на протяжении десяти лет увеличивать более чем на 5–10 %, если согласятся получать от властей США субсидии на строительство локальных предприятий в их юрисдикции.

Тайваньские поставщики химикатов для производства чипов нацелились на рынок Европы

Принято считать, что быстрым прогрессом в строительстве совместного предприятия на юго-западе Японии компания TSMC, помимо прочего, обязана развитой местной экосистеме поставщиков профильного оборудования и компонентов. В Европе подобная сеть поставщиков не так развита, поэтому тайваньские компании готовятся начать освоение регионального рынка через локализацию производства.

 Источник изображения: GlobalFoundries

Источник изображения: GlobalFoundries

По крайней мере, руководство тайваньской компании LCY Group, поставляющей химикаты для обработки кремниевых пластин, выражает готовность не только инвестировать в экономику Германии, но и в целом захватить рынок Европы. Ещё три тайваньских поставщика химических реагентов подобного назначения, по информации Financial Times, выразили готовность последовать примеру этой компании. Они уже снабжают продукцией компанию TSMC, а поскольку она собирается построить предприятие в Германии, вектор миграции тайваньских поставщиков был предопределён заранее.

По оценкам руководства LCY Group, европейские поставщики химикатов для полупроводниковой отрасли за долгие годы концентрации на зрелой литографии утратили конкурентные преимущества. Та же компания Infineon, по мнению тайваньского поставщика, значительно могла бы повысить уровень выхода годной продукции, переключившись на передовые химикаты тайваньского производства. В целом, для европейской полупроводниковой отрасли характерна концентрация на достаточно зрелой литографии, не ниже 10 нм, а потому существующая местная инфраструктура поставщиков тоже отстала от передовых технологических решений.

Компании Infineon Technologies, NXP Semiconductors и Bosch как раз выступят партнёрами TSMC по строительству предприятия в Германии, которое с 2027 года начнёт выпуск компонентов по их заказам. В Германии также появится производственный комплекс Intel стоимостью 30 млрд евро, а GlobalFoundries и STMicroelectronics построят совместное предприятие во Франции, а последняя в отдельности готова реализовать проекты на территории Италии и Германии. Другими словами, локализуемые тайваньскими производителями химикатов предприятия на территории Европы найдут рынок сбыта продукции без затруднений.

Ту же серную кислоту, применяемую для травления кремниевых пластин и их очистки, европейские производители вынуждены импортировать из Азии, поскольку местное сырьё не отвечает технологическим требованиям. Изопропиловый спирт, также применяемый на этом производстве, остаётся в дефиците на предприятиях Европы. К тому же, местные предприятия выпускают спирт довольно низкого качества и давно не модернизировались. В результате, европейская полупроводниковая промышленность вынуждена импортировать основную часть химикатов, необходимых для выпуска чипов.

Руководство LCY Group рассчитывает на поддержку властей Германии в рамках строительства своего локального предприятия. К тому же, существующие предприятия европейских производителей химикатов на волне реиндустриализации региона тоже начнут модернизироваться и расширяться.

Квартальная выручка TSMC сократилась на 11 % — этот результат лучше, чем ожидали аналитики

Для компании TSMC, которая продолжает оставаться ведущим контрактным производителем чипов в мире, с точки зрения статистики наступает не самый благоприятный период, поскольку сформированная в прошлом году высокая база для сравнения вынуждает её демонстрировать негативную динамику. Квартальная выручка, например, сократилась на 11 % в годовом сравнении, хотя и оказалась выше ожиданий.

 Источник изображения: TSMC

Источник изображения: TSMC

Подобные выводы делает Bloomberg, анализируя первые доступные официальные данные о результатах деятельности TSMC за три предыдущих месяца. В общей сложности компания в третьем квартале выручила около $17 млрд, как позволяют судить предварительные отчёты. В сентябре компания выручила $5,6 млрд, аналитики рассчитывали, что квартальная выручка TSMC не превысит $16,5 млрд. Подробный отчёт компания обнародует только 19 октября.

Напомним, что по итогам текущего года TSMC рассчитывает столкнуться со снижением выручки на 10 %. По мнению руководства, которое прозвучало три месяца назад, спад спроса на смартфоны и ПК перевесит положительную тенденцию в сегменте систем искусственного интеллекта, поскольку бизнес TSMC до сих пор значительно зависит от двух первых сегментов рынка. Чтобы соответствовать растущему спросу на ускорители вычислений, TSMC готовится к концу следующего года в два раза расширить собственные мощности по тестированию и упаковке чипов с использованием метода CoWoS. Сейчас компания от силы способна удовлетворять до 80 % спроса клиентов на такие услуги. Неофициальные источники утверждают, что закупки профильного оборудования компанией TSMC заметно увеличены.

Строительство фабрики чипов TSMC в Японии опередило график

Как уже отмечали отраслевые источники, японский проект TSMC продвигается в своей реализации гораздо быстрее американского, и тому есть целый ряд причин. Сейчас компания уже приступает к монтажу оборудования на строящемся совместном предприятии в Японии, и наладить выпуск чипов по 28-нм технологии TSMC сможет ещё до конца следующего года.

 Источник изображения: Ninnek Asian Review, Toshiki Sasazu

Источник изображения: Ninnek Asian Review, Toshiki Sasazu

Об этом заявляют со страниц Nikkei Asian Review знакомые с ситуацией источники. Монтаж оборудования на совместном предприятии TSMC в префектуре Кумамото может завершиться уже в первом квартале 2024 года, и оно начнёт работу либо к концу того же года, либо чуть раньше. Первым делом будет налажен выпуск 28-нм и 22-нм продукции, в получении которой заинтересован один из крупных акционеров — корпорация Sony, которая будет использовать данную площадку для производства датчиков изображений для камер смартфонов и другой электроники. В перспективе, напомним, здесь будет налажен выпуск и 12-нм изделий, а другим заинтересованным стратегическим инвестором в это совместное предприятие остаётся японский производитель автомобильных компонентов Denso.

На строительной площадке в Кумамото уже работают сотни сотрудников TSMC, которые имеют опыт в строительно-монтажных и пуско-наладочных работах. Вскоре к ним присоединятся сотни сотрудников подрядных организаций, которые будут снабжать будущее производство всем необходимым. Достоинством японского рынка, по мнению участников процесса, является изобилие поставщиков многих необходимых компонентов. При этом TSMC заблаговременно обучает нанимаемых в Японии местных специалистов, чтобы они в дальнейшем смогли работать на предприятии.

В американском штате Аризона, где TSMC строит предприятие по выпуску чипов с использованием передовой литографической технологии N4, ситуация складывается менее благополучно. Как поясняют отраслевые источники, в этом случае TSMC приходится формировать всю инфраструктуру с нуля и привлекать для этого тайваньских поставщиков, которые сталкиваются с многочисленными бюрократическими препонами. Корпоративные культуры Японии и Тайваня в этом смысле намного ближе, поэтому стройка движется гораздо быстрее, чем в США. По данным представителей одной из компаний, которая занималась монтажом оборудования во всех трёх регионах, если на Тайване можно при помощи подъёмных механизмов и строительной техники установить несколько единиц оборудования буквально за несколько часов, то в Японии на это уйдёт несколько дней, а в США и вовсе будет потрачено больше недели.

Для TSMC в Аризоне проблемой является отсутствие местных партнёров, которые помогли бы создавать необходимую инфраструктуру, в Японии же действует компания Sony, которая является одним из акционеров СП. В любом случае, эти проекты слишком сильно различаются по своей сложности и масштабу, чтобы можно было их напрямую сравнивать. То же можно сказать и о планах TSMC по строительству предприятия в Германии, как отметили в интервью японскому изданию представители тайваньской компании.

Сторонние эксперты отмечают, что нехватка квалифицированных кадров в целом характерна для всего мирового рынка услуг по производству полупроводниковых компонентов, но в Японии она усугубляется демографическим фактором. Специалисты, привлекаемые к строительству совместного предприятия TSMC в этой стране, в большинстве своём перевалили за 50-летний рубеж.

Японские власти также охотнее адаптируют законодательство и быстрее предоставляют субсидии, чем американские. По крайней мере, из предполагаемых $8 млрд, которые TSMC и партнёры потратят на строительство предприятия в Кумамото, власти Японии готовы покрыть субсидиями $3,5 млрд. Власти США пока не приступили к распределению субсидий по так называемому «Закону о чипах», а ещё они предусматривают разного рода обременительные условия вроде запрета на расширение производственных мощностей в КНР сроком на десять лет с момента получения субсидий. Словом, для TSMC в Японии просто созданы более благоприятные условия для строительства предприятия, поэтому прогресс в этой сфере весьма закономерен.

TSMC упростила создание чипов со сложной 3D-компоновкой с помощью нового инструментария 3Dblox 2.0

Современные высокопроизводительные вычислительные компоненты сложно представить без сложной пространственной компоновки, которая позволяет сохранять действие так называемого закона Мура в условиях приближения кремниевой литографии к физическим пределам своих возможностей. TSMC представила инструментарий 3Dblox 2.0, который упростит проектирование подобных передовых компонентов.

 Источник изображения: TSMC

Источник изображения: TSMC

Первая версия открытого стандарта для проектирования элементов со сложной пространственной компоновкой была представлена TSMC ещё в октябре прошлого года, и теперь она подверглась доработке и усовершенствованиям. В новой версии 3Dblox появилась функция повторного использования чиплетов и возможность предварительной оценки уровня энергопотребления и тепловыделения проектируемого чипа. Всё это должно повысить эффективность проектирования чипов со сложной пространственной компоновкой.

Поставщики профильного программного обеспечения поддержали инициативу, в результате в состав Комитета 3Dblox вошли представители Ansys, Cadence, Siemens и Synopsys. Их участие гарантирует взаимную совместимость предлагаемых средств автоматизированной разработки компонентов с решениями TSMC.

Более широкое объединение 3DFabric Alliance сейчас насчитывает 21 компанию-участницу. Сотрудничество членов альянса сосредоточено на трёх ключевых направлениях. Во-первых, обсуждаются и внедряются передовые методы интеграции микросхем памяти вроде тех же HBM3. Во-вторых, ведутся разработки в сфере совершенствования методов работы с подложками. В-третьих, члены альянса взаимодействуют друг с другом в области методов тестирования готовой продукции. В идеале, TSMC и партнёры стремятся добиться повышения производительности линий для тестирования чипов в десять раз.

В следующем году выручка TSMC вернётся к росту, по итогам 2025 года достигнет $100 млрд

Ещё в июле руководство TSMC на квартальном отчётном мероприятии было вынуждено заявить, что затоваривание складов компонентами для ПК и смартфонов в условиях снижения спроса приведёт к снижению выручки по итогам года на величину до 10 %. Аналитики New Street Research хоть и согласны с этим прогнозом, рассчитывают на рост выручки TSMC по итогам следующего года.

 Источник изображения: TSMC

Источник изображения: TSMC

По сути, действующий сценарий подразумевает снижение выручки компании с прошлогодних $76 млрд до $68 млрд по итогам текущего года, но дно будет пройдено, и в 2024 году выручка TSMC вернётся к росту, и уже по итогам 2025 года она достигнет $100 млрд. Правда, авторы прогноза призывают не рассчитывать исключительно на сферу искусственного интеллекта в качестве локомотива выручки TSMC. Рынок потребительской электроники будет по-прежнему в значительной степени влиять на денежные потоки компании, его восстановление в ближайшие два года и будет преимущественно определять вектор движения выручки TSMC.

С другой стороны, в серверном сегменте не только продукция NVIDIA будет пользоваться растущим спросом. Компоненты Marvell Technology, Broadcom и AMD тоже будут востребованы на этом направлении. Одним 2025 годом положительная динамика выручки TSMC не ограничится, как отмечают аналитики New Street Research, поскольку положительная тенденция сохранится и в последующем. Всё это позволяет авторам прогноза поднять целевое значение курса акций TSMC на 34 % от текущего уровня.

TSMC активно наращивает выпуск гигантских чипов для суперкомпьютера Tesla Dojo

В конце августа прошлого года компания Tesla рассказала об архитектуре своей суперкомпьютерной системы Dojo, которая будет применяться для работы с фирменными системами искусственного интеллекта, ориентированными на машинное зрение и автоматическое управление транспортом. Как отмечают тайваньские источники, компоненты для этого суперкомпьютера Tesla заказывает у TSMC весьма активно.

 Источник изображений: Tesla

Источник изображений: Tesla

Основной компонент, на котором строится суперкомпьютер Tesla Dojo — это чип D1 собственной разработки, который представляет собой «систему-на-пластине», то есть занимает целую 300-мм кремниевую пластину, на которой размещается 25 ускорителей и другие функциональные элементы. Его компания TSMC производит по 7-нм технологии и упаковывает особым образом, что уже стало нормой для ускорителей вычислений. В этом году Tesla собирается закупить у TSMC около 5000 таких чипов, в следующем году она намерена удвоить количество до 10 000 чипов, а также продолжить наращивание закупок уже в 2025 году.

Для TSMC увеличение объёмов заказов на выпуск 7-нм продукции является положительной тенденцией, поскольку на фоне снижения спроса на компоненты для смартфонов данное направление деятельности страдало от снижения уровня загрузки производственных линий. По крайней мере, в следующем году за счёт заказов Tesla и прочих клиентов степень загрузки линий на 7-нм направлении вырастет до оптимальных величин. К концу 2024 года Tesla намеревается довести уровень производительности своего суперкомпьютера Dojo до 100 эксафлопс, поэтому процесс масштабирования системы продолжается.

TSMC ускорила расширение мощностей по упаковке чипов, необходимых для выпуска ИИ-чипов NVIDIA

Представители TSMC недавно дали понять, что в части услуг по упаковке чипов методом CoWoS компания сейчас способна удовлетворять потребности клиентов от силы на 80 %, и на фоне резко растущего спроса устранить дефицит удастся лишь к концу следующего года. По данным тайваньских СМИ, сейчас TSMC вынуждена расширять профильные мощности быстрее, чем планировала изначально.

 Источник изображения: NVIDIA

Источник изображения: NVIDIA

Издание Taiwan Economic Daily поясняет, что сперва TSMC рассчитывала увеличить производительность профильных линий с 12 000 кремниевых пластин в месяц до 15 000 или 20 000 пластин в месяц, завершив этот этап расширения к концу первого квартала следующего года. Теперь возникла потребность поднять производительность линий по упаковке чипов методом CoWoS ещё примерно на 30 %, до 25 000 или 30 000 пластин в месяц. По крайней мере, к концу второго квартала TSMC рассчитывает получить от производителей оборудования всё необходимое, чтобы уже во второй половине следующего года выйти на новый объём ежемесячной упаковки чипов. В целом, как отмечалось ранее, TSMC готова к концу следующего года удвоить профильные мощности по сравнению с текущим уровнем.

NVIDIA сейчас получает около 60 % квот на данный вид услуг, как поясняют тайваньские источники, оставаясь крупнейшим клиентом TSMC. При этом спрос на упаковку методом CoWoS растёт и со стороны других разработчиков, включая AMD, Broadcom и Amazon, поэтому TSMC вынуждена увеличивать производственные мощности не только по прихоти NVIDIA. Поставщики профильного оборудования для упаковки чипов с воодушевлением смотрят на перспективы роста своей выручки вплоть до середины следующего года, поскольку заказами со стороны TSMC они как раз обеспечены до этого времени.

Сейчас именно способность TSMC упаковывать ускорители вычислений A100 и H100 в известной степени ограничивает возможности NVIDIA по увеличению объёмов их поставок на фоне бума систем искусственного интеллекта. Руководство NVIDIA готово привлекать новых партнёров к решению этой проблемы, но «перепрыгнуть» через TSMC ему всё равно не удастся, поскольку технология производства указанных чипов NVIDIA подразумевает использование только упаковки CoWoS в исполнении конкретного тайваньского подрядчика.

Санкции нипочём: SMIC освоит 5-нм техпроцесс за два-три года, считают эксперты

Выход на рынок смартфонов Huawei серии Mate 60 обнажил озадачившую власти США проблему: китайские компании, судя по докладу канадских экспертов, способны выпускать 7-нм полупроводниковые компоненты даже в условиях санкций. По оценкам экспертов, на освоение 5-нм технологии у той же SMIC уйдёт от двух до трёх лет, и доступ к EUV-литографии ей для этого не потребуется.

 Источник изображения: SMIC

Источник изображения: SMIC

Как отмечает EE Times со ссылкой на опрошенных экспертов, среди которых есть и бывший главный юрист TSMC, помогавший этой тайваньской компании выиграть суд против SMIC по делу о промышленном шпионаже 2009 года, этот китайский контрактный производитель сможет наладить выпуск 5-нм чипов, применяя доступное ему оборудование для глубокой ультрафиолетовой литографии (DUV) в сочетании с использованием множественных фотошаблонов. По сути, 7-нм техпроцесс был освоен SMIC именно за счёт сочетания данных технологических компонентов. Передовое EUV-оборудование, поставки которого в Китай ещё в 2019 году запретили власти Нидерландов, для этого не потребовалось.

Одним из генеральных директоров SMIC до сих пор является видный разработчик Лян Мун Сун (Ligan Mong-song), который имеет большой опыт работы в TSMC и Samsung, и способен искать неординарные пути решения технических проблем, возникающих на пути китайской полупроводниковой промышленности. Его уход из состава совета директоров SMIC два года назад был как раз продиктован желанием сосредоточиться на руководстве операционной деятельностью компании. Штат TSMC он в своём время покинул, считая важным сохранять действие так называемого закона Мура, а не расширять ассортимент продукции, как того хотело руководство тайваньского гиганта.

Опрошенные EE Times аналитики считают, что уровень выхода годной продукции в рамках 7-нм техпроцесса SMIC значительно выше обсуждаемых прессой 10 %, он наверняка превышает 70 %, и это очень хороший показатель для рискованного по всем меркам техпроцесса. Как считают отраслевые эксперты, китайские производители до сих пор имеют доступ к большому ассортименту оборудования, а дальнейшее усугубление санкций со стороны США в большей степени начнёт вредить американской экономике, чем сдерживать технологическое развитие КНР. На рынке достаточно европейских и израильских компаний, которые на практике не особо прислушиваются к американским требованиям в области экспортного контроля, ценя китайских клиентов. Таким образом, возможность наладить выпуск 5-нм чипов SMIC при желании и наличии достаточных ресурсов сможет через два или три года. При этом у американских чиновников останется не так много инструментов для достоверного определения происхождения передовых китайских чипов и «сообщников» китайских производителей. Напомним, что на этой неделе министр торговли США Джина Раймондо (Gina Raimondo) подвела промежуточные итоги расследования в отношении SMIC, заявив, что у китайских компаний нет возможности выпускать 7-нм чипы в массовых количествах.

TSMC может задержать массовое производство 2-нм чипов до 2026 года

Тайваньская компания TSMC готовит под выпуск 2-нм компонентов сразу три площадки в разных частях острова, но свежие слухи упоминают о возможности замедления реализации соответствующих проектов. По меньшей мере одно из предприятий, на которых должен быть освоен массовый выпуск 2-нм изделий, займётся профильной деятельностью не ранее 2026 года, как сообщают источники.

 Источник изображения: TSMC, TrendForce

Источник изображения: TSMC, TrendForce

Данным информационным поводом неожиданно заинтересовался вполне авторитетный ресурс TrendForce, который ссылается на публикации тайваньских СМИ. Как поясняет издание, TSMC рассчитывает освоить выпуск 2-нм продукции на трёх предприятиях в разных частях Тайваня: в Баошане (Синьчжу) на севере, Тайчжуне в центральной части острова, а также в Гаосюне на юге.

Первоначально, как отмечают источники, TSMC намеревалась построить предприятие Fab 20 в Баошане, чтобы оно уже во второй половине следующего года приступило к опытному производству 2-нм продукции, а в 2025 году освоило серийный выпуск 2-нм изделий. Сейчас муниципальные власти силами подрядных организаций приступили к формированию инженерной и дорожной инфраструктуры, которая понадобится будущему предприятию TSMC на севере острова. По слухам, строительство самого предприятия несколько задержится, поскольку спрос на полупроводниковые компоненты восстанавливается медленно, и производитель просто не уверен, что наращивать будущие мощности нужно прежними темпами. Вместо второй половины 2025 года, массовое производство 2-нм чипов на этой площадке TSMC может быть налажено только в 2026 году.

К слову, представители TSMC, по данным TrendForce, все эти слухи сопроводили только заявлением о сохранении намеченных темпов ввода в строй новых предприятий. В Гаосюне строительство предприятия для выпуска 2-нм чипов уже началось, а монтаж оборудования должен был начаться через месяц после предприятия в Баошане. В центральной части Тайваня предприятие в Тайчжуне начнёт возводиться только в следующем году, поэтому на сроки освоения 2-нм техпроцесса в масштабах всего бизнеса TSMC оно повлияет в наименьшей степени. По некоторым данным, местное предприятие TSMC может сразу перейти к освоению 1,4-нм или 1 нм техпроцесса, пропустив фазу 2 нм.

Как ожидается, при выпуске 2-нм изделий TSMC будет применять структуру транзисторов с окружающим затвором (GAA), которую Samsung Electronics уже взяла на вооружение в рамках своего 3-нм техпроцесса. Сложность данной технологии для TSMC закладывает определённые риски в части сроков освоения производства 2-нм чипов, а также уровня брака. В свою очередь, Intel к 2025 году уже планирует освоить техпроцесс 18A с различными компоновочными новшествами типа аналога GAA по имени RibbonFET и технологии PowerVia, которая предусматривает подачу питания с оборотной стороны подложки. Таким образом, TSMC в случае возникновения задержек с освоением 2-нм техпроцесса рискует отстать не только от Samsung, но и от Intel.

Немецкие студенты пройдут стажировки на предприятиях TSMC на Тайване

Местные власти на фоне заявлений о готовности TSMC построить на востоке Германии предприятие по контрактному производству полупроводниковых компонентов своевременно озаботились подготовкой для него кадров. Для этого с властями Тайваня была достигнута договорённость о проведении полугодовых стажировок на этом острове для студентов из Германии.

 Источник изображения: GlobalFoundries

Источник изображения: GlobalFoundries

Уже весной следующего года, как сообщает Bloomberg, первая группа из 50 студентов отправится из Германии на Тайвань, чтобы сперва пройти трёхмесячный курс обучения в ведущем вузе острова, а затем применить полученные навыки во время идентичной по продолжительности стажировки на многочисленных предприятиях TSMC, расположенных на этом острове. Подписанное с властями Тайваня соглашение подразумевает, что ежегодно по этой программе смогут проходить стажировку в среднем по 50 человек. При этом тайваньская сторона при наличии необходимости может направлять своих студентов по обмену для обучения в вузах Дрездена.

В восточной части Германии наблюдается дефицит квалифицированной рабочей силы в сегменте выпуска полупроводниковой продукции. От 28 до 33 % действующих специалистов в этой сфере в течение последующих 10 или 12 лет будут отправлены на заслуженный отдых, а восполнить их функции за счёт новой рабочей силы во многих случаях не удастся. С июня 2021 по июнь 2022 года, как показало исследование, вся полупроводниковая отрасль Германии испытывала потребность в приёме на работу до 62 000 человек. Неблагоприятная демографическая ситуация заставляет власти задуматься о привлечении квалифицированных специалистов из-за рубежа.

Напомним, тайваньская TSMC согласилась потратить 10 млрд евро на строительство предприятия по контрактному производству чипов в восточной части Германии, 30 % акций совместного предприятия поровну распределят между собой компании Infineon, NXP и Bosch, поскольку они же является заинтересованными в получении чипов клиентами. Производство компонентов на этом предприятии начнётся лишь к концу 2027 года, оно будет снабжать продукцией местный автопром и сектор промышленной автоматизации. С учётом намерений Intel построить в Магдебурге своё предприятие по выпуску чипов, готовить новые кадры для полупроводниковой отрасли немецким властям придётся в увеличенных объёмах. Тем более, что у GlobalFoundries в окрестностях Дрездена давно действуют предприятия по выпуску чипов, унаследованные от AMD, и они наверняка будут расширяться.

Аризона хочет заполучить ещё одну фабрику TSMC — для тестирования и упаковки чипов

Наличие в Аризоне двух передовых предприятий TSMC по производству чипов не решит проблемы высокой зависимости американской полупроводниковой промышленности от Тайваня, поскольку для тестирования и упаковки выпущенные в США чипы придётся отправлять на этот остров. Власти Аризоны хотят способствовать появлению в своём штате производственной линии TSMC по тестированию и упаковке чипов.

 Источник изображения: TSMC

Источник изображения: TSMC

Соответствующее признание, по словам Bloomberg, во время своего визита в составе американской делегации на Тайвань сделала губернатор штата Кэти Хоббс (Katie Hobbs). Переговоры с представителями TSMC на эту тему уже ведутся, хотя о конкретных результатах говорить преждевременно. Губернатор Аризоны также отметила, что реализация существующего проекта по строительству двух предприятий TSMC на территории штата идёт по графику, и она впечатлена той скоростью, с которой предприятия возводятся.

О недавно возникших трудностях с монтажом оборудования на первом из строящихся предприятий из-за нехватки квалифицированных специалистов Кэти Хоббс упомянула лаконично, заявив, что власти штата вместе с TSMC «устраняют некоторые проблемы». Напомним, что выпуск контрактной продукции по технологии N4 на первом из двух предприятий в Аризоне компания TSMC первоначально рассчитывала начать до конца 2024 года, но из-за возникшей задержки с монтажом оборудования вынуждена перенести сроки на 2025 год. Нужные специалисты, по словам представителей TSMC, будут направлены в Аризону с Тайваня.

Для компании NVIDIA возможность тестировать и упаковывать чипы на линии TSMC в Аризоне могла бы означать решение потенциальных проблем с выпуском ускорителей искусственного интеллекта, но вряд ли профильный проект в Аризоне TSMC реализует быстрее, чем в два раза расширит профильные мощности на Тайване — сделать это она рассчитывает до конца следующего года.

В Аризоне разместить свои линии по упаковке чипов с использованием передовых технологий собирается и корпорация Intel. Именно здесь будут предоставляться соответствующие услуги одному из крупных клиентов, который уже проплатил ей крупную сумму в счёт будущих операций по выпуску и упаковке компонентов с использованием техпроцесса Intel 18A.

TSMC решила ускорить переход к «зелёной» энергетике на десять лет

Являясь участником инициативы RE100, тайваньская компания TSMC взяла на себя определённые обязательства по переходу на использование энергии из возобновляемых источников и достижению углеродной нейтральности. Недавно компания объявила о готовности ускорить этот процесс, перейдя на использование энергии только из возобновляемых источников к 2040 году, на десять лет раньше первоначального срока.

 Источник изображения: TSMC

Источник изображения: TSMC

Соответственно, подверглась корректировке и цель, привязанная к 2030 году. Теперь к концу текущего десятилетия TSMC рассчитывает использовать 60 % энергии из возобновляемых источников вместо прежних 40 %. При этом цель по переходу к углеродной нейтральности не подвергалась корректировке, компания по-прежнему рассчитывает достичь её только к 2050 году. По словам председателя совета директоров Марка Лю (Mark Liu), «TSMC глубоко осознаёт свою ключевую позицию в мировой полупроводниковой промышленности и собственное влияние на различные отрасли экономики», а потому располагает в основе своей политики управления принцип «зелёного» производства.

Крупнейший клиент TSMC в лице Apple мог оказать влияние на руководство TSMC в ускорении темпов перехода к использованию лишь энергии из возобновляемых источников. Поставщик iPhone все свои изделия намеревается сделать «углеродно нейтральными» к 2030 году, и в достижении этой цели серьёзно зависит от своих подрядчиков, одним из которых как раз является TSMC. Сотни партнёров Apple уже подтвердили свою готовность перейти к 2030 году на использование энергии лишь из возобновляемых источников.

Непосредственно для TSMC движение к этой цели усложняется тем, что основная часть её производственных мощностей сосредоточена на Тайване, который пока не готов предложить компании достаточное количество источников возобновляемой энергии. Входящая в тройку крупнейших производителей полупроводниковой продукции корейская компания Samsung Electronics тоже является участником инициативы RE100, поэтому проблема такой миграции не уникальна для компаний полупроводниковой отрасли.

TSMC замедлила приём оборудования для выпуска передовых чипов от поставщиков

По итогам прошлого года TSMC сократила капитальные затраты с планируемых изначально $40 млрд до $36 млрд, а по итогам текущего она изначально рассчитывала удержать их на прошлогоднем уровне, но в начале года назвала в прогнозе сумму $32 млрд в качестве реалистичного ориентира. Источники утверждают, что сейчас компания просит поставщиков оборудования повременить с отгрузкой продукции.

 Источник изображения: ASML

Источник изображения: ASML

Соответствующие слухи добрались до информационного агентства Reuters, которое старательно внушает читателям мысль о том, что возникшие задержки будут носить временный характер. По данным источников, TSMC сомневается в темпах восстановления спроса на полупроводниковую продукцию, а потому и не торопится забирать у поставщиков высокотехнологичное оборудование для их производства. Как подчёркивается, речь идёт именно о продвинутом оборудовании, предназначенном для выпуска самых современных полупроводниковых компонентов.

Представители TSMC комментировать слухи традиционно отказались, а вот генеральный директор ASML Петер Веннинк (Peter Wennink), чья компания является крупнейшим в мире поставщиком литографических сканеров, признал факт отсрочки поставок некоторого передового оборудования по просьбе неких клиентов. Проблема, по его словам, носит временный характер и в большей степени затрагивает сферу управления бизнесом, чем производство. Сейчас предприятия самой ASML работают с полной загрузкой и свою выручку она рассчитывает по итогам года увеличить на 30 %.

Веннинк добавил, что до него доходят разного рода слухи о степени готовности новых предприятий, причём не только строящихся в Аризоне, но и на Тайване. Хотя в указанном американском штате новые предприятия возводит не только TSMC, но и Intel, именно первая из компаний недавно отметилась в прессе в контексте сообщений о задержке запуска массового производства чипов по технологии N4 с 2024 до 2025 года. Опытное производство, как стало известно на днях, всё равно запустят в следующем году, но темпы экспансии, по всей видимости, придётся пересмотреть.

Глава ASML позволил себе и более подробное замечание относительно возможных проблем со строительством новых предприятий и оснащением их нужным оборудованием: «Если ты отправляешь множество людей с Тайваня, чтобы помогать со строительством предприятия в Аризоне, они в это время не могут заниматься работой в каком-то другом месте». В какой-то мере, ситуация становится «двойным ударом» по производству чипов, как намекнул Петер Веннинк. Можно предположить, что сейчас TSMC в очередной раз за год переоценивает перспективы своего бизнеса и пытается рационально распределить ресурсы.


window-new
Soft
Hard
Тренды 🔥