Сегодня 30 сентября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → tsmc
Быстрый переход

TSMC объявила о строительстве завода в Германии за €3,5 млрд — он будет выпускать чипы от 12 до 28 нм

Компания Taiwan Semiconductor Manufacturing Co. (TSMC) сообщила, что её правление утвердило инвестиции в размере 3,5 млрд евро для строительства завода в Германии — это будет первое европейское предприятие компании.

 Источник изображения: TSMC

Источник изображения: TSMC

Крупнейший в мире контрактный производитель микросхем с 2021 года ведёт переговоры с властями федеральной земли Саксонии о строительстве завода в Дрездене. Это будет третий завод TSMC за пределами Тайваня и материкового Китая, а также важнейший объект для официального Берлина, который реализует стратегию развития немецкой полупроводниковой промышленности — присутствие в этом сегменте необходимо для автомобильной индустрии и сохранения конкурентоспособности ФРГ на мировом рынке.

Тайваньский производитель выделит €3,499 млрд — эти средства будут направлены дочерней компании European Semiconductor Manufacturing Company (ESMC) GmbH, которая на 70 % принадлежит TSMC, а остальное принадлежит европейским Bosch, Infineon и NXP. Завод ежемесячно сможет обрабатывать 40 тысяч 300-мм кремниевых пластин на основе технологий CMOS 28/22 нм и FinFET 16/12 нм, а также поможет создать 2000 рабочих мест. ESMC планирует начать строительство завода во второй половине 2024 года, а производство стартует в 2027 году.

TSMC является одним из нескольких производителей полупроводников наряду с Intel и Wolfspeed, стремящихся привлечь государственное финансирование для строительства заводов в Европе. Брюссель и входящие в ЕС страны считают важнейшей задачей развитие местного производства — для её реализации выделяются многомиллиардные госсубсидии, которые помогут региону снизить зависимость от азиатских поставщиков и исключить дефицит чипов, который породил настоящий хаос в автопроме. К 2030 году ЕС собирается нарастить свою долю на мировом рынке до 20 %. Пока что не уточняется, сколько именно TSMC получит субсидий на строительство своего первого европейского завода.

TSMC также построит несколько предприятий в американской Аризоне — они также будут построены в рамках реализуемой стратегии по развитию полупроводниковой промышленности в США. Ещё один проект компания реализует с Sony — совместное производственное предприятие в Японии.

Apple сэкономила миллиарды долларов на 3-нм процессорах благодаря эксклюзивной сделке с TSMC

Компания TSMC вышла на массовое производство 3-нм чипов с уровнем выхода годной продукции выше 70 %. И обычно клиенты оплачивают все пластины и чипы, включая бракованные. Однако на эксклюзивных условиях сотрудничества компания Apple платит тайваньскому контрактному производителю микросхем только за годные кристаллы, сообщает портал AppleInsider, ссылающийся на отчёт издания The Information.

 Источник изображения: TSMC

Источник изображения: TSMC

По словам операционного директора Apple Джеффа Уильямса (Jeff Williams), Apple и TSMC связывают долгие и насыщенные отношения. Как пишет The Information, эти отношения по-настоящему уникальны и выделяются как на фоне сотрудничества TSMC с другими своими клиентами, так и на фоне отношений прочих производителей чипов со своими покупателями.

В частности, TSMC не взимает с Apple полную стоимость пластин с использованием 3-нм техпроцесса, в которых содержатся сотни кристаллов будущих процессоров. Тайваньский контрактный производитель берёт с Apple плату только за годные кристаллы. Обычно разница в цене не является статистически значимой, потому что со временем TSMC так или иначе выходит на уровень выпуска 99 % годных кристаллов на кремниевой пластине. Однако в настоящий момент уровень пригодных к использованию 3-нм кристаллов составляет около 70–80 %. Поскольку Apple не платит TSMC за негодные кристаллы, это позволяет ей значительно экономить. Речь идёт о миллиардах долларов.

Apple выкупила практически все мощности TSMC для выпуска 3-нм изделий ещё несколько лет назад. The Information утверждает, что TSMC способна вести разработку новых технологических процессов в частности благодаря тому, что Apple готова заранее и в больших объёмах оплачивать производственные мощности для выпуска новых передовых чипов. Отмечается, что как только TSMC снизит уровень производственного брака кристаллов и повысит объём выпуска годных чипов, она сможет предоставлять свои услуги по производству 3-нм процессоров и другим компаниям, но уже без таких выгодных условий.

Предполагается, что использование 3-нм процессоров в составе будущих смартфонах iPhone 15 до 35 % увеличит показатель их энергоэффективности по сравнению с актуальными моделями iPhone 14, сообщает AppleInsider.

NVIDIA: проблемы с поставками GPU для ИИ-ускорителей связаны со сложной упаковкой, а не с самими чипами

По словам Илона Маска (Elon Musk), закупившего 10 000 ускорителей вычислений NVIDIA, достать их было «труднее, чем наркотики». NVIDIA объяснила, что узким местом при производстве графических процессоров стал этап упаковки чипов. В графических процессорах NVIDIA H-класса (для ускорителей) используется технология упаковки TSMC 2.5D Chip-on-Wafer-on-Substrate (CoWoS) — многоэтапный высокоточный процесс, высокая сложность которого ограничивает его производительность.

 Источник изображения: NVIDIA

Источник изображения: NVIDIA

Существует много факторов, способных повлиять на изготовление полупроводников, начиная от ошибок при проектировании, перебоев электроснабжения, загрязнения материалов вплоть до банальной нехватки редкоземельных металлов или других материалов. Но проблема с упаковкой CoWoS может оказаться более серьёзной, чем ожидалось.

TSMC заявила, что ей потребуется 1,5 года на завершение строительства дополнительных фабрик и расширение уже существующих мощностей, чтобы компенсировать отставание процесса упаковки от скорости производства самих чипов. Это означает, что NVIDIA придётся расставлять приоритеты при выпуске своих продуктов — не хватит времени и возможностей, чтобы упаковать их все.

TSMC на сегодняшний день является одним из немногих игроков с функциональной, высокопроизводительной технологией упаковки, которая является абсолютным требованием для масштабирования производительности. Но есть надежда, что Intel Foundry Services (IFS) в скором времени сможет составить конкуренцию TSMC в этой области. Компания Samsung также прилагает большие усилия, чтобы сократить разрыв в производственных технологиях по сравнению с TSMC. Недавно даже появилась информация, что NVIDIA поручит Samsung упаковку чипов для ИИ-ускорителей. Если это окажется правдой, то проблему дефицита GPU для ускорителей получится если не решить, то хотя бы несколько сгладить.

TSMC превзошла Samsung и Intel по количеству патентов на технологии упаковки чипов

Дальнейшее масштабирование производительности вычислительных компонентов уже не может происходить исключительно за счёт увеличения плотности размещения транзисторов на кристалле. Ведущие производители всё чаще используют технологии сложной пространственной компоновки чипов, и анализ патентной активности показывает, что TSMC в этой сфере преуспела больше других.

 Источник изображения: TSMC

Источник изображения: TSMC

По крайней мере, Reuters со ссылкой на данные исследования LexisNexis сообщает о превосходстве TSMC в данной сфере активности по критерию количества патентных заявок. Прежде всего, эта тайваньская компания располагает 2946 патентами в сфере продвинутых методов упаковки чипов, и они чаще разработок конкурентов цитируются сторонними компаниями. Samsung Electronics довольствуется 2404 патентами в этой сфере, а Intel может предложить только 1434 патента такого плана.

В любом случае, по данным LexisNexis, эти три компании сообща продвигают передовые методы упаковки чипов на рынок и стараются формировать отраслевые стандарты, что полезно всем участникам рынка. Прирост патентных портфелей всех трёх компаний в этой сфере начался в 2015 году, и они остаются одними из немногих, кто внедряет на практике самые сложные технологии упаковки чипов.

В декабре прошлого года Samsung Electronics даже создала обособленное подразделение, которое будет специализироваться на передовых технологиях упаковки чипов. Сама TSMC, в настоящее время испытывающая нехватку профильных производственных мощностей, собирается удвоить их к концу следующего года. Что касается Intel, то она тоже видит рыночный потенциал в развитии контрактных услуг по упаковке чипов, даже если речь идёт об обслуживании интересов конкурентов. Во-вторых, представители Intel пояснили, что сама по себе величина патентного портфеля TSMC ещё не говорит о превосходстве тайваньского производителя в технологической сфере.

TSMC взялась построить предприятие в Японии ради сближения с местными поставщиками оборудования и материалов

Официальные представители TSMC мотивы компании, побудившие её начать строительство совместного предприятия с Sony и Denso на западе Японии, обычно объясняют стремлением угодить клиентам, среди которых числятся не только Sony, но и Apple, покупающая у неё датчики изображения для своих смартфонов. Отраслевые источники считают, что у TSMC были и другие причины двинуться в Японию — нужно укреплять отношения с местными поставщиками оборудования и материалов.

 Источник изображения: Canon

Источник изображения: Canon

Такой точкой зрения поделился ресурс DigiTimes в начале текущей недели. Как поясняет источник, в отличие от проектов по строительству предприятий в США и Германии, японская инициатива во многом соответствовала интересам самой TSMC, тогда как западные проекты по локализации производства чипов зародились в силу заинтересованности властей США, Европы и локальных заказчиков. Строительство предприятия на западе Японии идёт без особых проблем, тогда как в США оно столкнулось с дефицитом квалифицированной рабочей силы, а в Германии даже ещё не принято соответствующее решение. По некоторым данным, располагающая предприятиями в окрестностях Дрездена американская компания GlobalFoundries возмущена намерениями местных властей отдать приоритет субсидированию строительства предприятия TSMC. Такие перекосы, по мнению конкурента, нарушают принципы справедливого рыночного соперничества.

Уже к декабрю 2024 года на первой японской площадке совместного предприятия JASM начнётся выпуск 12-нм, 16-нм, 22-нм и 28-нм чипов для нужд Sony и Denso, а второе предприятие может появиться по соседству позже. Для проектов такого уровня это довольно сжатые сроки реализации. Интерес TSMC в его реализации на территории Японии, как считают отраслевые эксперты, изначально заключался в укреплении связей с местными поставщиками технологического оборудования и материалов. Если в сфере поставок литографического оборудования японские компании занимают второе место в мире после США, то по материалам для выпуска чипов Япония лидирует с большим отрывом и долей в 48 % мирового рынка. Фактически, по некоторым из необходимых для обработки кремниевых пластин химикатов Япония вообще является монополистом. TSMC для развития своего бизнеса нужен доступ ко всем этим товарам из Японии.

Совместное предприятие TSMC на западе Японии позволит создать до 7000 новых рабочих мест, причём само JASM будет обеспечивать работой около 1000 человек из этого количества. Остальные вакансии появятся на смежных предприятиях и в экосистеме поставщиков. После объявления TSMC о намерениях реализовать этот проект в Японии более десяти местных компаний объявили о готовности увеличить капитальные расходы. Для TSMC и японских компаний строительство предприятия JASM на западе страны является взаимовыгодным. Тайваньский гигант укрепляет связи с поставщиками, а Япония снижает степень зависимости от поставок чипов из-за рубежа.

TSMC для освоения 1,4-нм техпроцесса открыла исследовательский центр на Тайване

В последнее время часто упоминались инициативы TSMC по строительству предприятий в США, Японии и Германии, поэтому тайваньское общество было взволновано подобной активностью крупнейшего контрактного производителя чипов, чьи предприятия традиционно были сосредоточены на данном острове. Открывая на этой неделе новый исследовательский центр TSMC на Тайване, руководство компании особо подчеркнуло, что она пустила глубокие корни на этой земле.

 Источник изображения: Cheng Ting-Fang, Nikkei

Источник изображения: Cheng Ting-Fang, Nikkei

Расположившийся в Синьчжу в десяти минутах езды от штаб-квартиры TSMC исследовательский центр предоставит примерно для 7000 специалистов площади в размере более 300 000 квадратных метров. Сюда переедут команды инженеров, которые обычно размещались в непосредственной близости к производственным предприятиям TSMC, выпускающим профильную продукцию. По словам председателя совета директоров Марка Лю (Mark Liu), в новом исследовательском центре будут вестись работы, направленные на освоение 2-нм, 1,4-нм и прочих прогрессивных литографических норм, а также исследования в области кремниевой фотоники.

Генеральный директор Си-Си Вэй (C.C. Wei) заявил на церемонии открытия нового исследовательского центра: «Открытие глобального научно-исследовательского центра является нашим способом сказать жителям Тайваня, что наши корни останутся на Тайване». В прошлом году TSMC увеличила расходы на исследования и разработки на 23 % до $5,47 млрд, и если средства на строительство новых предприятий в ближайший год будут выделяться в умеренных количествах, то до 70–80 % капитальных затрат всё равно будут связаны с освоением новых литографических норм. Эту сферу, как становится понятно, нужно поддерживать адекватными ресурсами с точки зрения исследований и разработок.

Основателю TSMC Моррису Чану (Morris Chang), который уже не участвует в управлении компанией, по традиции предоставили слово на указанной церемонии. «Когда дело касается технологии, технологическая компания должна быть самодостаточной, а не полагаться исключительно на лицензирование технологий других компаний. Это дух, на котором TSMC настаивала с первого дня», — сказал заслуженный деятель полупроводниковой отрасли компании. Он также добавил, что пройдя 30-летний путь, к моменту освоения 7-нм техпроцесса TSMC смогла утвердиться в статусе мирового лидера. Напомним, что выпускать тестовые 7-нм чипы памяти она начала ещё в июне 2016 года, а у Intel в тот период возникли проблемы с освоением новых техпроцессов, поэтому TSMC и укрепилась в статусе лидера, который Intel хочет себе вернуть к 2025 году.

TSMC построит на севере Тайваня новое предприятие по упаковке чипов с использованием передовых технологий

На недавней квартальной конференции руководство TSMC было вынуждено признать, что сейчас компания не в силах удовлетворить спрос на услуги по упаковке и тестированию чипов в полной мере, и ситуацию удастся нормализовать лишь к концу следующего года, но для этого компании придётся буквально удвоить профильные мощности. Сегодня стало известно, что одно из новых предприятий по упаковки чипов появится на севере Тайваня.

 Источник изображения: IBM

Источник изображения: IBM

Его строительство, как сообщает Reuters, обойдётся компании TSMC в $2,9 млрд. Как сообщили представители этого контрактного производителя чипов, ради удовлетворения рыночного спроса на подобные услуги, компания построит предприятие по упаковке чипов с использованием передовых технологий в технопарке Тонлуо округа Мяоли. Сейчас спрос на данные услуги подогревается интересом клиентов к выпуску ускорителей вычислений, которые требуют высокой плотности размещения транзисторов, которая в современных условиях достигается использованием сложной пространственной компоновки кристаллов.

Администрация округа Мяоли на севере Тайваня одобрила проект строительства, выделив подходящий участок земли на территории технопарка Тонлуо. По данным властей, это предприятие сможет обеспечить работой до 1500 человек. В следующем году, как стало известно на прошлой неделе, руководство TSMC собирается от 70 до 80 % капитальных расходов направить на освоение передовой литографии, на зрелую выделить до 10–20 %, а оставшиеся 10 % распределить между проектами по упаковке чипов и прочими потребностями. Если учесть, что рассчитывать на значительное увеличение капитальных затрат TSMC по сравнению с текущим годом ($32 млрд) не планируется, строительство предприятия по упаковке чипов на севере Тайваня наверняка займёт почти весь целевой бюджет.

TSMC подтвердила отставание от Intel во внедрении передовых полупроводниковых технологий

Компания Intel последние полтора года буквально на каждом углу твердит, что намерена вернуть себе технологическое лидерство в сфере литографии к 2025 году. К тому времени она собирается освоить техпроцесс Intel 18A, а годом ранее в рамках технологии 20A она начнёт применять транзисторы со структурой RibbonFET и схему PowerVIA с подводом питания с обратной стороны чипа. Если опираться на новые комментарии представителей TSMC, тайваньский конкурент Intel последнее из новшеств своим клиентам предложит не ранее 2026 года.

 Источник изображения: Intel

Источник изображения: Intel

На минувшей квартальной отчётной конференции генеральный директор TSMC Си-Си Вэй (C.C. Wei) заявил, что техпроцесс N2 осваивается компанией в полном соответствии с графиком, и в массовом производстве он будет внедрён в 2025 году. TSMC в рамках технологии N2 будет использовать новую структуру транзисторов с так называемыми нанолистами (с круговым затвором) — Intel же её разновидность по имени RibbonFET при удачном стечении обстоятельств рассчитывает внедрить уже в 2024 году. Как считает глава TSMC, технология нанолистов предложит клиентам компании в рамках норм N2 лучшее сочетание производительности и энергопотребления на рынке, а также самую высокую плотность размещения транзисторов. Это позволит TSMC укрепить своё технологическое лидерство к моменту появления техпроцесса N2 на рынке, как считают в компании.

Однако следует учесть, что Intel свою структуру RibbonFET собирается внедрить в рамках техпроцесса 20A уже в следующем году — по крайней мере, на уровне прототипов. С этой точки зрения, если учитывать упоминания представителей TSMC о внедрении нанолистов в 2025 году, американский производитель чипов может претендовать на лидерство по срокам внедрения подобного новшества.

Генеральный директор TSMC попутно напомнил, что в рамках семейства техпроцессов N2 компания собирается внедрить и схему питания с обратной стороны чипа. По его словам, это новшество будет больше востребовано в сегменте высокопроизводительных вычислений. Скорость переключения транзисторов оно позволит поднять на 10–12 %, а плотность размещения транзисторов увеличить на 10–15 % по сравнению с базовым вариантом N2. Технически TSMC предложит данную схему питания чипов уже во второй половине 2025 года, но потребителям она станет доступна в массовом производстве лишь в 2026 году. Это значит, что и здесь TSMC тоже отстанет от Intel, причём сразу на полтора или два года, если в планах последней не возникнет непредвиденных задержек.

Глава TSMC добавил, что компания наблюдает высокий интерес клиентов к техпроцессам семейства N2 как со стороны разработчиков высокопроизводительных чипов, так и в сегменте смартфонов. В ходе беседы с аналитиками на отчётном мероприятии представители TSMC были вынуждены признать, что по мере смены техпроцессов компании удаётся повышать быстродействие транзисторов на всё меньшую величину. В рамках техпроцессов N2 достичь заметного прироста производительности по прежним меркам тоже не удастся, но клиенты в последнее время всё чаще заостряют внимание на повышении энергоэффективности, поэтому компания решила уделить этой оптимизации должное внимание при освоении данной литографической технологии. В сегменте центров обработки данных, по словам главы TSMC, это очень ценится.

TSMC запустит улучшенный 3-нм техпроцесс N3E уже в четвёртом квартале этого года

Так называемое второе поколение 3-нм техпроцесса в исполнении TSMC обычно фигурировало во внутренних документах тайваньской компании под обозначением N3E и было привязано по срокам внедрения ко второй половине 2023 года. На минувшей квартальной конференции представители TSMC уточнили, что к массовому производству чипов по технологии N3E компания будет готова приступить в четвёртом квартале текущего года.

 Источник изображения: TSMC

Источник изображения: TSMC

Генеральный директор TSMC Си-Си Вэй (C.C. Wei) на отчётом мероприятии в конце этой недели заявил буквально следующее: «N3E расширяет наше семейство N3 за счёт возросшего быстродействия, сниженного энергопотребления и уровня выхода годной продукции, а также обеспечивает полную поддержку платформ как в сегменте высокопроизводительных вычислений, так и для применения в смартфонах. N3E прошёл квалификационные тесты, достиг целевых показателей по быстродействию и уровню брака, в массовое производство он будет запущен в четвёртом квартале этого года».

Напомним, если базовый вариант техпроцесса N3, который компания TSMC использует для массового производства компонентов по заказу той же Apple с конца прошлого года, обеспечивает снижение энергопотребления до 25–30 %, улучшение производительности на 10–15 % и экономию площади кристалла до 42 % по сравнению с техпроцессом N5, то в случае с N3E за счёт некоторого уменьшения плотности размещения транзисторов (на 7,8 % по сравнению с N3) предлагает более высокий уровень выхода годной продукции и упрощение самого производства, что благоприятно сказывается и на себестоимости продукции. Кроме того, N3E увеличивает экономию в энергопотреблении до 32 % по сравнению с N5, а производительность транзисторов возрастает на 18 % вместо 15 % у базового N3.

По сути, N3E лишь немногим жертвует с точки зрения плотности размещения транзисторов по сравнению с N5: она увеличивается в 1,6 раза вместо 1,7 раз у более дорогого в производстве N3. Как ожидается, N3E сможет привлечь большее количество клиентов к услугам TSMC, чем это удалось N3. Впрочем, даже базовый вариант своего 3-нм техпроцесса руководство компании считает лучшим на рынке с точки зрения производительности, плотности размещения транзисторов и энергопотребления, а потому подчёркивает, что во второй половине текущего года объёмы выпуска чипов с его использованием заметно возрастут, причём как в сегменте высокопроизводительных вычислений, так и в сегменте смартфонов.

Руководство TSMC на этой неделе также выразило надежду, что семейство 3-нм техпроцессов в исполнении компании сформирует долговременный спрос со стороны клиентов, и этот технологический цикл будет долгоиграющим с точки зрения продолжительности присутствия на рынке. Базовый техпроцесс N3 к концу этого года будет формировать от 4 до 6 % совокупной выручки компании, хотя пока в отчётности TSMC он вообще не упоминается, хотя фактически используется в серийном производстве с начала текущего года, как минимум.

Этими двумя разновидностями 3-нм техпроцесса TSMC ограничиваться не собирается. Ко второй половине следующего года компания готовится освоить техпроцесс N3P, который снизит энергопотребление на 5–10 % по сравнению с N3E, поднимет быстродействие на 5 % и на 4 % увеличит плотность размещения транзисторов. К 2025 году специально для самых производительных чипов будет внедрён техпроцесс N3X, который позволит применять более высокие напряжения и поднимет быстродействие как минимум на 5 %, но ценой более высокого энергопотребления по сравнению с N3P. Зато плотность размещения транзисторов «трогать» не будут, и она останется на одном уровне с N3P. С этой точки зрения жизненный цикл 3-нм техпроцессов в производственной программе TSMC действительно будет продолжительным.

AMD готова использовать предприятия TSMC за пределами Тайваня и присматриваться к её конкурентам

Эту неделю генеральный директор AMD Лиза Су (Lisa Su) провела в разъездах по Азии, и если в начале недели она выступала в столице Тайваня, то к концу добралась до японской столицы, где в интервью местным СМИ призналась, что необходимость диверсифицировать риски подталкивает компанию присмотреться не только к предприятиям TSMC за пределами Тайваня, но и к услугам её конкурентов.

 Источник изображения: AMD

Источник изображения: AMD

Последнее заявление звучало довольно интригующе с учётом сделанных на Тайване Лизой Су несколькими днями ранее комментариев о необходимости сохранять сотрудничество с «хорошими партнёрами» типа TSMC для выпуска передовых компонентов с использованием самой современной литографии. В интервью изданию Nikkei Asian Review глава AMD во время визита в Токио сделала следующие пояснения относительно перспектив сотрудничества с TSMC: «Компания рассматривает прочие производственные возможности, чтобы обеспечить себя наиболее надёжной цепочкой поставок. В сфере разработки передовых чипов у нас нет никаких альтернатив в планах».

Другими словами, в AMD понимают важность сотрудничества с TSMC в сфере передовых технологий изготовления и упаковки чипов, но на второстепенных направлениях готовы рассматривать альтернативных поставщиков. По сути, AMD изначально сотрудничает с GlobalFoundries, получая от неё 12-нм и 14-нм кристаллы по сей день. Когда графическое подразделение AMD было независимой компанией ATI Technologies, оно получало часть продукции от тайваньской UMC. В принципе, на контрактном направлении у AMD в данном случае остаются ещё альтернативы в виде Samsung Electronics или даже Intel, но для последней она является прямым конкурентом, а потому представить их сотрудничество в данной сфере крайне сложно — даже с учётом потенциальной открытости Intel по отношению к этой идее. Отметим, что Лиза Су не стала в интервью японским СМИ уточнять, кого из контрактных производителей хотела бы видеть в числе своих партнёров, помимо TSMC. При этом слухи о намерениях AMD переключиться на услуги Samsung в сфере производства передовых чипов глава компании на этой неделе, по сути, опровергла во время своего выступления на Тайване.

Зато глава AMD не стала скрывать заинтересованности в сотрудничестве с TSMC в контексте появления новых предприятий последней за пределами Тайваня: «Появление новых производственных площадок по всему миру, включая США и Японию — думаю, это очень хорошо. Мы хотели бы использовать производственные площадки в разных географических точках для достижения большей гибкости». Напомним, что свою заинтересованность в получении чипов со строящихся сейчас в штате Аризона предприятий TSMC руководство AMD не скрывало изначально.

Что в этом смысле может быть придумано AMD с учётом скорого появления нового предприятия TSMC на западе Японии — предугадать сложно. В интересах Sony и поставщика автокомпонентов Denso, которые являются акционерами совместного предприятия с TSMC, со следующего года здесь будут выпускаться 12-нм, 16-нм, 28-нм и 22-нм чипы. Если у AMD появится интерес к локализации подобного ассортимента изделий именно в Японии, то местное предприятие TSMC наверняка ей в этом смысле пригодится.

AMD завоевала более 25 % рынка серверных процессоров, заявила глава компании Лиза Су

В далёком уже 2019 году генеральный директор AMD Лиза Су (Lisa Su) пыталась ставить перед компанией ориентиры по темпам экспансии на рынке серверных процессоров. Долю в 10 % она должна была преодолеть до конца 2020 года. После глава AMD избегала подобных прогнозов, но во время своего визита на Тайвань на этой неделе неожиданно заявила, что сейчас AMD занимает более 25 % рынка серверных процессоров.

 Источник изображения: AMD

Источник изображения: AMD

По крайней мере, на эти заявления Лизы Су, сделанные на пресс-конференции в Тайбэе на текущей неделе, ссылается тайваньский ресурс DigiTimes: «Мы добились хорошего прогресса в бизнесе по производству центральных процессоров. Я даже думаю, что в серверном сегменте наша доля рынка превышает 20 %, и даже должна быть выше 25 %». Это выше прогноза аналитиков DigiTimes Research,которые рассчитывали на достижение данным показателем уровня более 20 % лишь по итогам 2023 года, для процессоров с Arm-совместимой архитектурой прогнозировалось достижение доли в 8 %.

Если опираться на статистику Mercury Research, то по итогам первого квартала этого года доля AMD в сегменте центральных процессоров серверного назначения достигала 18 %, поэтому при некотором везении компания вполне могла преодолеть рубеж в 20 % по итогам второго квартала. После того, как доля AMD в серверном сегменте процессорного рынка превысила 10 % несколько лет назад, Лиза Су зареклась привязывать новые вехи к конкретным периодам времени, но регулярно выражала уверенность, что позиции компании на рынке продолжают укрепляться.

Примечательно, что на пресс-конференции на Тайване главу AMD спросили о слухах в отношении намерений компании переключиться на услуги Samsung Electronics в сфере контрактного производства чипов по новым литографическим нормам типа 3 нм. Лиза Су на попытки выяснить истину переспросила репортёров о том, верят ли они южнокорейским средствам массовой информации. После этого она заявила, что TSMC является важным партнёром AMD, а готовящийся к выпуску в конце года ускоритель вычислений MI300 является довольно сложным изделием. «Мы продолжим работать с нашими тайваньскими партнёрами, поскольку мы не сможем выпустить такой продукт без хороших партнёров типа TSMC», — заявила Лиза Су.

AMD является вторым по величине клиентом TSMC (10 % выручки), и ради эффективной конкуренции с NVIDIA возглавляемой Лизой Су компании не следует портить отношения со своим основным подрядчиком. Глава AMD также добавила, что не в её правилах комментировать вопросы, связанные с конкретными продуктами и заказами. В любом случае, становится понятно, что в ближайшее время от услуг TSMC компания отказываться не собирается.

TSMC подтвердила, что готовится построить предприятие в Германии и продолжит расширять производство в Китае

Компания TSMC на предыдущих отраслевых мероприятиях довольно скупо говорила о своих намерениях построить предприятие по производству чипов в Европе, но на минувшей квартальной конференции в четверг подтвердила, что оно может появиться в Германии и обслуживать интересы производителей автомобильных компонентов. Попутно было отмечено, что от планов по расширению производства чипов в Китае компания не отказывается.

 Источник изображения: TSMC

Источник изображения: TSMC

Речь о планах TSMC по расширению производства за пределами Тайваня зашла в контексте новостей о задержке в оснащении первого из строящихся предприятий компании в Аризоне технологическим оборудованием. Как стало известно вчера, TSMC вынуждена отложить запуск производства чипов в Аризоне по технологии N4 с 2024 на 2025 год. Причина задержки тоже упоминается — нехватка квалифицированных рабочих для своевременного проведения необходимых операций. TSMC собирается командировать с Тайваня в Аризону больше персонала для ускорения процесса монтажа оборудования.

На западе Японии, как напомнило руководство TSMC, компания в сотрудничестве с Sony и Denso строит совместное предприятие, которое будет использовать 12-нм, 16-нм, 22-нм и 28-нм техпроцессы для контрактного выпуска чипов в интересах двух указанных японских партнёров. Массовое производство компонентов на этом предприятии в Японии будет налажено в 2024 году, как и предполагалось изначально.

«В Европе мы взаимодействуем с клиентами и партнёрами, чтобы оценить целесообразность строительства специализированного предприятия в Германии, которое сфокусировалось бы на востребованных в автопроме техпроцессах, в зависимости от спроса со стороны клиентов и поддержки со стороны правительства», — пояснил председатель совета директоров TSMC Марк Лю (Mark Liu).

От поддержки китайских клиентов TSMC тоже не отказывается, поэтому расширяет линию по контрактному выпуску 28-нм чипов в Нанкине, хотя при этом полностью подчиняется правилам экспортного контроля, выдвигаемым заинтересованными странами.

Представители TSMC также выразили удовлетворение уровнем взаимопонимания в вопросе предоставления субсидий со стороны властей США, Японии и Европы при обсуждении строительства предприятий на их территории. На начальном этапе, как поясняют руководители TSMC, затраты на строительство и эксплуатацию предприятия за пределами Тайваня гораздо выше, чем на острове. Во-первых, все зарубежные предприятия TSMC отличаются меньшим масштабом, чем на Тайване, поэтому удельные расходы на выпуск чипов неизбежно оказываются выше. Во-вторых, экосистема поставщиков на новых местах не развита, поэтому снабжение производства оказывается дороже. Соответственно, развивать локальную экосистему приходится тоже за счёт дополнительных инвестиций в смежные предприятия.

Стоимость рабочей силы за рубежом тоже оказалась несколько выше, чем ожидало руководство TSMC, поэтому с местными властями прорабатывается вопрос устранения хотя бы части дополнительных затрат со стороны компании. При определении ценовой политики на новых местах TSMC собирается в первую очередь руководствоваться необходимостью сформировать долгосрочные доверительные отношения с клиентами, и лишь потом будет заботиться об улучшении показателей прибыльности в интересах акционеров. Ценообразование в этом случае будет носить «стратегический характер», как любят в таком контексте говорить руководители TSMC.

В США, например, субсидии и налоговые льготы должны будут покрыть разницу в расходах на строительство и эксплуатацию предприятий в течение первых пяти лет. Действующее руководство TSMC в этом отношении разделяет точку зрения с основателем компании Моррисом Чаном (Morris Chang), который оценил разницу в затратах по сравнению с Тайванем на уровне дополнительных 50 %.

За первые пять лет деятельности предприятия стоимость оборудования обычно успевает пройти амортизацию, и тогда прибыль производителя чипов увеличивается. Так или иначе, TSMC собирается вести бизнес за пределами Тайваня, ориентируясь на целевой показатель нормы прибыли в 53 % в целом по компании, поэтому на какие-то исключительные жертвы в угоду чужим геополитическим интересам она идти не готова.

К концу следующего года TSMC нарастит мощности по упаковке чипов в два раза

Компания TSMC самостоятельно не только обрабатывает кремниевые пластины, но и занимается тестированием и монтажом чипов в корпус, если речь идёт об изделиях со сложной пространственной компоновкой. Именно этот этап контрактного производства чипов для систем искусственного интеллекта сейчас является «узким местом», поэтому к концу следующего года TSMC рассчитывает расширить профильные мощности в два раза.

 Источник изображения: TSMC

Источник изображения: TSMC

Генеральный директор компании Си-Си Вэй (C.C. Wei) в ходе беседы с аналитиками на квартальном отчётном мероприятии признался, что сейчас возможности TSMC по пространственной упаковке передовых чипов не позволяют на 100 % удовлетворять спрос со стороны клиентов. Понимая, что потребность рынка в такого рода услугах не ослабнет в ближайшее время, TSMC активно расширяет профильные производственные мощности, но избавиться от ограничений в этой сфере удастся лишь к концу следующего года, если всё пойдёт по плану.

К слову, на этапе обработки кремниевых пластин TSMC способна в полной мере удовлетворять спрос клиентов, с этим никаких проблем сейчас нет, как пояснил глава компании. Он позже добавил, что в сфере упаковки чипов с использованием метода CoWoS, который востребован при производстве компонентов для систем ИИ, компания рассчитывает увеличить свои мощности примерно в два раза.

В ближайшие пять лет, как уже отмечалось, TSMC рассчитывает столкнуться с ежегодным ростом выручки в сегменте ИИ на 50 % в среднем, поэтому с текущей доли в 6 % она довольно быстро вырастет до двузначных значений в процентах. Не самый простой 2023 год, по словам руководства компании, не вынуждает её отказаться от среднесрочного прогноза по темпам роста совокупной выручки на 25–30 % в год в среднем. Свои сильные стороны TSMC сможет реализовать, обеспечивая выпуск чипов для ИИ по передовым технологиям, и занять в сегменте таких услуг доминирующее положение на рынке, как верит руководство. В текущем году, впрочем, на существенную поддержку от этого направления оно пока не рассчитывает.

Консерватизм TSMC привёл к снижению котировок акций многих компаний полупроводникового сектора

Руководители TSMC на вчерашнем квартальном мероприятии огорчили инвесторов сразу несколькими заявлениями. Поскольку компания является крупнейшим контрактным производителем полупроводниковых компонентов, её оценка текущего состояния рынка способна сильна влиять на настроения инвесторов. В итоге акции самой TSMC на тайваньской фондовой площадке упали на 3,8 %, на американской её депозитарные расписки потеряли в цене около 5 %, а многие ценные бумаги других компаний сектора тоже подешевели.

 Источник изображения: Billy H.C. Kwok, Bloomberg

Источник изображения: Billy H.C. Kwok, Bloomberg

Напомним, чем же именно руководство TSMC смогло разочаровать инвесторов. Во-первых, оно заявило, что по итогам года выручка сократится на 10 % — в два раза сильнее, чем ожидалось в апреле. Это будет первый случай за 14 лет, когда в годовом сравнении выручка компании сократится.

Во-вторых, стало известно о задержке в реализации проекта по строительству предприятий в Аризоне. Компании не хватает квалифицированных рабочих для соблюдения первоначального графика, поэтому запуск производства чипов на первом из строящихся в штате предприятий по технологии N4 откладывается до 2025 года.

В-третьих, руководители TSMC признались, что восстановление спроса идёт медленнее, чем планировалось. Складские запасы продукции придут в норму в лучшем случае к началу следующего года. Спрос на смартфоны и компоненты для ПК всё ещё довольно слаб, это сказывается на заказах TSMC как в сегменте зрелой, так и в сегменте передовой литографии.

В-четвертых, как пояснили представители TSMC, всплеск спроса на компоненты для систем искусственного интеллекта в краткосрочной перспективе не может компенсировать общих негативных тенденций в мировой экономике. Даже в следующем году динамика выручки в сегменте ИИ может оказаться не такой высокой, как ожидают многие, по мнению руководителей компании. При этом в долгосрочной перспективе TSMC рассчитывает занять доминирующее положение на рынке услуг по выпуску компонентов для систем ИИ.

Сейчас такие компоненты в совокупности формируют не более 6 % выручки компании, но если в ближайшие пять лет спрос будет в среднем расти на 50 % в год, то доля профильной выручки начнёт измеряться двузначными числами в процентах. Помимо прочего, это позволит компании в ближайшие годы поддерживать среднегодовые темпы роста совокупной выручки на уровне 15–20 % в долларовом выражении. Об этом руководители TSMC поведали на вчерашнем отчётном мероприятии.

Так или иначе, текущее положение дел в отрасли, описанное руководством TSMC, вызвало коррекцию фондового рынка в профильном секторе. В цене упали акции поставщиков литографического оборудования типа ASML и Tokyo Electron. Ценные бумаги производителей памяти Samsung Electronics и SK hynix тоже подешевели, в США потеряли в цене около 3 % акции NVIDIA и Intel.

Как поясняют аналитики Needham, для TSMC это уже третье снижение прогноза по выручке с начала рыночного цикла. По их мнению, если компания и её клиенты до конца года справятся со складскими излишками, то в 2024 году бизнес TSMC продемонстрирует положительную динамику. Подобную точку зрения разделяют и эксперты Goldman Sachs. Они также считают, что инвесторы особо не надеялись на соблюдение сроков реализации проекта в Аризоне, поэтому данный фактор на их настроения повлиял в минимальной степени.

TSMC запустит производство чипов в США по технологии N4 с опозданием на год — первая фабрика в Аризоне будет готова только в 2025 году

Принятое в декабре прошлого года решение начать в США строительство второго предприятия по контрактному выпуску чипов далось руководству TSMC не так просто. Американским партнёрам при любой возможности высказывались пожелания о субсидиях, при этом среднесрочные капитальные расходы компании приходилось сокращать. Сегодня TSMC призналась, что первое из двух предприятий в Аризоне начнёт работу лишь в 2025 году, а не в 2024-м, как планировалось изначально.

 Источник изображения: TSMC

Источник изображения: TSMC

Напомним, что широко освещавшийся в американских СМИ проект по строительству двух предприятий TSMC в штате Аризона подразумевал расходы в размере $40 млрд с целью запуска двух предприятий, способных ежемесячно обрабатывать по 20 000 кремниевых пластин типоразмера 300 мм. Первое должно было начать работу в 2024 году и предложить клиентам 5-нм чипы и техпроцесс N4, а второе к 2026 году должно было наладить выпуск 3-нм компонентов.

Формально предприятия в Аризоне не могут считаться «первыми на территории США» для компании TSMC, поскольку ещё в 1996 году она основала дочернее предприятие WaferTech, которое выпускало чипы на контрактной основе в штате Вашингтон. Впрочем, два новых предприятия в Аризоне призваны наладить выпуск чипов по куда более продвинутым техпроцессам, а потому в новой главе истории присутствия производств TSMC на территории США их действительно можно считать первыми.

Представители компании на квартальном отчётном мероприятии заявили, что по итогам текущего года капитальные затраты будут ближе к $32 млрд, хотя ранее упоминался и верхний предел диапазона на уровне $36 млрд. Поскольку в первом полугодии TSMC уже потратила $18,1 млрд на соответствующие нужды, в оставшиеся шесть месяцев года она наверняка ограничится примерно $14 млрд капитальных затрат. Как это решение повлияет на скорость строительства предприятия в Аризоне, не уточняется, но руководство TSMC обещало отправить на площадку квалифицированных рабочих с Тайваня, чтобы ускорить процесс.

В любом случае, наладить серийный выпуск чипов по технологии N4 на первом из двух предприятий в Аризоне в 2024 году у компании уже не получится. Запуск серийного производства чипов по этому техпроцессу намечен на 2025 год. Повлияет ли это как-то на планы Apple, NVIDIA и AMD, которым слухи приписывали стремление поручить выпуск своих чипов в США компании TSMC, сказать сложно. Родственный 5-нм техпроцесс сейчас доступен клиентам тайваньских предприятий компании, выручка от его применения по итогам второго квартала выросла до 30 %.


window-new
Soft
Hard
Тренды 🔥