Сегодня 29 сентября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → tsmc
Быстрый переход

Январские показатели TSMC указали, что рынок полупроводников возвращается к росту

Компания TSMC уже отчиталась об итогах своей деятельности в январе текущего года. Первый месяц года позволил компании увеличить выручку в годовом сравнении на 7,9 % до $6,9 млрд, и на 22,4 % последовательно, что не совсем соответствует сезонным тенденциям и говорит о росте спроса на компоненты для систем искусственного интеллекта.

 Источник изображения: TSMC

Источник изображения: TSMC

Отчитываясь о результатах прошлого квартала в середине января, TSMC заявила, что по итогам всего первого квартала текущего года рассчитывает на рост выручки по меньшей мере на 8 % минимум до $18 млрд. При благоприятном стечении обстоятельств выручка TSMC в первом квартале должна достичь $18,4 млрд, увеличившись год к году на 12,5 %. Таким образом, доля января в потенциальной квартальной выручке компании уже превысила условную треть, которая расположилась на уровне $6,13 млрд в месяц. Это хороший сигнал для инвесторов, продолжающих верить в подъём полупроводникового рынка на фоне высокого спроса в сегменте систем искусственного интеллекта.

По итогам 2024 года в целом TSMC рассчитывает увеличить выручку как минимум на 21 %, а также удержать капитальные затраты в диапазоне от $28 до $32 млрд, что по середине диапазона как раз соответствует прошлогодним капитальным затратам. От 70 до 80 % этой суммы будут направлены на передовые техпроцессы, от 10 до 20 % на зрелые, а технологиям упаковки достанется около 10 % капитальных затрат в этом году. TSMC не боится перепроизводства в сфере зрелых техпроцессов, поскольку заключает с клиентами долгосрочные контракты, а также предлагает им уникальные адаптированные под их потребности литографические технологии. В последующие несколько лет, как ожидает руководство TSMC, выручка компании будет в среднем расти на 15–20 % в год.

Предприятие TSMC по выпуску 6-нм чипов в Японии будет готово к концу 2027 года

Совместное предприятие JASM, в котором большинством акций владеет тайваньская TSMC, обещает начать выпуск продукции в Японии на первом заводе до конца текущего года, а второй будет построен до конца 2027 года. К числу акционеров JASM примкнёт непосредственно японская корпорация Toyota Motor.

 Источник изображения: TSMC

Источник изображения: TSMC

Напомним, что главный поставщик компонентов на конвейер Toyota, компания Denso, изначально была акционером JASM, и даже настояла на освоении производства 12-нм компонентов на первом из предприятий в префектуре Кумамото, поскольку Sony вполне было достаточно 22-нм и 28-нм техпроцессов. Как поясняет Nikkei Asian Review, в результате прямого участия Toyota в капитале JASM доли акционеров распределятся следующим образом: TSMC сохранит за собой 86,5 % акций, Sony получит 6 %, Denso чуть уступит ей с 5,5 %, а доля Toyota ограничится 2 %.

Совокупная сумма инвестиций, учитывающая затраты всех акционеров JASM и строительство второго предприятия, превысит $20 млрд. Представители японского правительства заявили, что готовы предоставить субсидии на сумму до $5,2 млрд на строительство второго завода TSMC в префектуре Кумамото. Это предприятие к концу 2027 года должно будет освоить выпуск 6-нм продукции, его строительство будет запущено в этом году. Решение о строительстве третьего предприятия в Японии рассматривается руководством TSMC, но пока не принято.

SMIC начнёт выпускать 5-нм чипы для Huawei уже в этом году

Анонс смартфонов Huawei Mate 60 в прошлом году заставил весь мир понять, что китайская полупроводниковая промышленность способна выпускать 7-нм чипы даже в условиях технологических и торговых санкций со стороны США и их союзников. Если верить слухам, 5-нм чипы для Huawei в исполнении китайской компании SMIC могут быть получены уже в этом году.

 Источник изображения: SMIC

Источник изображения: SMIC

Как сообщает Financial Times со ссылкой на собственные осведомлённые источники, SMIC буквально «соберёт в кучу» имеющееся передовое оборудование для выпуска чипов на предприятии в Шанхае, чтобы наладить выпуск 5-нм компонентов для смартфонов Huawei и ускорителей вычислений этой же марки. В последнем случае, как предполагается, речь идёт об ускорителях вычислений Ascend 920, которые могут быть использованы для развития систем искусственного интеллекта китайскими разработчиками. Чипы этой серии SMIC тоже рассчитывает выпускать по передовой 5-нм технологии.

Источники поясняют, что заказчикам SMIC новейшие 7-нм и 5-нм чипы обходятся дороже на 40–50 % по сравнению с сопоставимой по параметрам продукцией тайваньской TSMC, но с учётом санкций против Китая мечтать о лучших условиях покупок довольно сложно. Напомним, что по 7-нм технологии SMIC выпускает для Huawei мобильные процессоры семейства HiSilicon Kirin 9000S и ускорители вычислений Ascend 910B. По неофициальным данным, уровень выхода годной продукции SMIC в рамках 7-нм и 5-нм технологии уступает показателям TSMC более чем в три раза. Это во многом обуславливает и высокую себестоимость, и ограниченные объёмы выпуска такой продукции.

И всё же, уверенное освоение китайскими производителями современных литографических технологий имеет стратегически важное значение для КНР, поэтому вопросы экономической целесообразности здесь уходят на второй план.

UMC с осторожным оптимизмом оценила перспективы рынка чипов и увеличит капвложения до $3,3 млрд

Тайваньский контрактный производитель чипов United Microelectronics Corp (UMC) заявил, что он «осторожно оптимистичен» в отношении спроса на полупроводники в этом году, даже несмотря на макроэкономическую неопределённость. UMC увеличит капитальные вложения на 10 % — с $3 млрд в прошлом году до $3,3 млрд в этом. Эти средства пойдут на расширение производства в Сингапуре и южно-тайваньском Тайнане.

 Источник изображения: UMC

Источник изображения: UMC

В последние годы полупроводниковая промышленность оказалась под давлением, поскольку глобальные экономические проблемы снизили спрос на чипы, используемые во всём: от мобильных телефонов до автомобилей. Но сопрезидент UMC Джейсон Ванг (Jason Wang) ожидает, что ситуация начнёт улучшаться и общий спрос на производство чипов в первом квартале 2024 года «незначительно увеличится».

«Мы с осторожным оптимизмом смотрим на 2024 год. Однако перспективы все ещё относительно ограничены из-за макроэкономической неопределённости, [снижения] потребительских расходов, более высоких процентных ставок и инфляционного давления», — заявил Ванг. По его словам, основной целью компании в 2024 году станет повышение устойчивости компании, «чтобы выдержать рыночную турбулентность, а затем принять подъём рынка».

На прошлой неделе UMC объявила о сотрудничестве с Intel в разработке полупроводниковой технологической платформы для относительно зрелой 12-нанометровой технологии. UMC фокусируется на более зрелых техпроцессах, в отличие от своего гораздо более крупного конкурента TSMC, который вкладывает большие средства в самые передовые 2- и 1-нанометровые техпроцессы. Крупнейший в мире контрактный производитель чипов ранее в этом месяце дал оптимистичный прогноз на фоне бума ИИ, который привёл к резкому росту мировых фондовых рынков.

UMC, в число клиентов которой входят американская компания Qualcomm и немецкая Infineon, сообщила о падении выручки в четвёртом квартале 2023 года на 19 % по сравнению с аналогичным периодом прошлого года до $1,76 млрд. Это на 3,7 % меньше, чем результат третьего квартала 2023 года.

Поставки пластин упали на 2,5 % в квартальном исчислении, а загрузка мощностей снизилась на один процент до 66 %. Акции UMC, которые котируются в Тайбэе, в этом году упали на 6,8 %, на 0,2 % отставая от общего падения на рынке. На последних торгах сегодня акции упали ещё на 1,2 % в преддверии опубликования финансового отчёта.

MediaTek к концу года выпустит флагманский 3-нм процессор Dimensity 9400 с мощным ИИ-ускорителем

В IV квартале 2024 года MediaTek выпустит мобильный процессор Dimensity 9400 — свою платформу, произведённую с использованием 3-нм техпроцесса TSMC второго поколения, что обеспечит чипу высокую энергоэффективность и не только. Новинка получит встроенный ускоритель алгоритмов искусственного интеллекта, что позволит ей на равных конкурировать с флагманскими решениями конкурентов, пишет China Times со ссылкой на заявление главы MediaTek Рика Цая (Rick Tsai).

 Источник изображения: mediatek.com

Источник изображения: mediatek.com

Чип MediaTek Dimensity 9400, как и его предшественник, будет лишён традиционных малых эффективных ядер, а к производительным Arm Cortex-X4 добавится ядро Cortex-X5. Он также получит более совершенный ИИ-ускоритель, чем у актуального Dimensity 9300, который позволяет локально обрабатывать алгоритмы больших языковых моделей с 33 млрд параметров. Dimensity 9400 также сможет работать с быстрой и эффективной памятью LPDDR5T, необходимой для ИИ.

 Источник изображения: China Times

Источник изображения: China Times

В конце 2023 года MediaTek сообщила о разработанном совместно с TSMC мобильном процессоре на основе технологии 3 нм, который сократит потребление энергии на 32 %, а его серийное производство стартует в 2024 году. Речь, предположительно, идёт о модели Dimensity 9400 — по той же технологии, вероятно, будет производиться грядущий флагманский процессор Qualcomm Snapdragon 8 Gen 4. Предварительные тесты Snapdragon 8 Gen 4 в Geekbench 6 показали его заметное превосходство в многоядерной производительности над существующими флагманами Snapdragon 8 Gen 3 и Dimensity 9300. Возможно, MediaTek Dimensity 9400 сможет обеспечить такой же скачок в показателях, но официального анонса придётся ждать до IV квартала.

Проблемы с энергоснабжением Тайваня обретают актуальность для Apple и NVIDIA, помимо прочих

Высокая концентрация передовых литографических производств на Тайване, помимо политических рисков, несёт на себе и некоторые инфраструктурные проблемы. Дефицит водных и энергетических ресурсов уже демонстрировал уязвимость местной полупроводниковой промышленности, а высокая зависимость Тайваня от привозных ископаемых источников энергии может стать проблемой для клиентов местных компаний, стремящихся следовать «зелёной повестке».

 Источник изображения: Unsplash, Henry & Co

Источник изображения: Unsplash, Henry & Co

Как напоминает Bloomberg, американские компании Apple и NVIDIA обязуются к 2040 году перейти на использование электроэнергии из возобновляемых источников по всей цепочке поставок, но тайваньская энергетическая инфраструктура может просто быть не готовой к такому графику миграции. В позапрошлом году, как уточняется, около 80 % электроэнергии на Тайване вырабатывалось с использованием природного газа, каменного угля и нефтепродуктов, которые на территорию острова импортировались. На долю возобновляемых источников энергии приходилось всего 8 % баланса Тайваня, но уже к 2025 году власти острова ставят перед местными энергетиками увеличить эту долю до 20 %.

Если учесть, что ядерная энергетика обеспечивала потребности острова на 9,1 % в позапрошлом году, а в дальнейшем от неё планируется отказаться, это лишь усугубляет проблему реструктуризации отрасли. Местная энергетическая компания Taipower по итогам текущего года прогнозирует убытки в размере $6,0 млрд, которые возникли из-за роста цен на импортируемые энергоресурсы при одновременном требовании властей сдерживать рост энерготарифов. В частности, в прошлом году Taipower смогла поднять цены на электроэнергию на 11 %, а в позапрошлом ограничилась повышением на 8,4 %, причём для бытовых потребителей тарифы вообще не менялись.

Попытки Тайваня развивать ветряные электростанции сталкиваются с задержками в поставке оборудования и ростом затрат. Кроме того, местные власти требуют, чтобы энергетические компании покупали оборудование только тайваньского производства, а это ограничивает выбор и усугубляет задержки. Зависимость Тайваня от импортируемых энергоносителей также увеличивает риски с точки зрения геополитической обстановки вокруг острова. Клиентам тайваньской компании TSMC, которая является крупнейшим контрактным производителем чипов, приходится учитывать эти риски, но на направлении передовой литографии особых альтернатив пока нет, хотя Intel и Samsung готовы играть на этих факторах, чтобы переманить к себе новых заказчиков.

Глава NVIDIA встретился с руководством TSMC для обсуждения назревающего кризиса с ИИ-чипами

Бессменный лидер NVIDIA, Дженсен Хуанг (Jensen Huang), на этой неделе провёл переговоры с руководством тайваньской компании TSMC в Тайбэе. Родившийся на Тайване, Хуанг пользуется особой популярностью на острове, где технологии, а особенно полупроводниковая индустрия, являются ключевыми для экономики. Центральной темой дискуссии стал дефицит ИИ-чипов, который ставит под угрозу дальнейшее развитие отрасли.

 Источник изображения: NVIDIA

Источник изображения: NVIDIA

На встрече, прошедшей за ужином, Хуанг обсудил с основателем TSMC и влиятельным деятелем индустрии Моррисом Чангом (Morris Chang) стратегическую роль компании в производстве чипов NVIDIA. Эти чипы являются ключевыми для большинства систем обучения генеративного ИИ по всему миру. Хуанг подчеркнул значимость этого партнёрства в разговоре с журналистами, признав, что TSMC играет ведущую роль в производстве чипов, которые лежат в основе современных ИИ-технологий: «Это возрождение компьютерной индустрии, и именно поэтому Тайвань занимает в ней центральное место. TSMC и экосистема тайваньских производителей — все они будут участвовать в этой новой эре вычислений».

Эта поездка Хуанга последовала за его недавним визитом в Китай — первым за последние четыре года. Он отметил, что встречи в Китае прошли на фоне жёстких ограничений США на экспорт передовых чипов NVIDIA в страну, которую Вашингтон считает своим геополитическим соперником. Ранее он предупреждал, что усиление американских санкций, направленных на ограничение доступа Китая к чипам для обучения ИИ, может стимулировать местные компании к разработке собственных аналогов. Такое развитие событий в долгосрочной перспективе способно нанести ущерб американским технологическим компаниям. В качестве примера потенциального конкурента Хуанг упомянул компанию Huawei, которая в 2023 году внедрила продвинутый процессор китайского производства в один из своих смартфонов, вызвав беспокойство Вашингтона.

Хуанг, как правило, избегающий публичных комментариев о своих посещениях Китая, открыто говорит о критической роли Тайваня и TSMC в бизнесе NVIDIA и в полупроводниковой индустрии в целом. «Масштабирование возможностей ИИ — вот главная проблема, с которой мы сталкиваемся», — заявил он. Также Хуанг подчеркнул, что NVIDIA вместе с TSMC и другими партнёрами в цепочке поставок прилагают все усилия, чтобы удовлетворить возрастающий спрос на ИИ-чипы.

NVIDIA, чья рыночная стоимость в 2023 году утроилась благодаря своей ключевой роли в развитии ИИ, продемонстрировала в текущем году невероятный рост капитализации на 24 %. Инвесторы видят в компании лидера сектора, который продолжает адаптироваться под меняющиеся рыночные условия, включая разработку версий своих чипов для Китая, соответствующих американским ограничениям. Бум ИИ также способствует и стабилизации бизнеса TSMC. На прошлой неделе компания озвучила прогнозы об увеличении капиталовложений и стабильном росте выручки, что положительно отразилось на акциях во всём секторе.

TSMC скоро начнёт закладывать основу для производства 1-нм чипов

TSMC развивает производство по техпроцессу 3 нм и активно готовится к запуску линий 2 нм, а неофициальные источники утверждают, что компания вскоре начнёт закладывать основы для техпроцесса 1 нм. Контрактный производитель инвестирует в фабрики чипов в западных странах, включая Германию и США, но, невзирая на геополитическую напряжённость в Азиатско-Тихоокеанском регионе, продолжает строительство передовых предприятий на Тайване.

 Источник изображения: Maxence Pira / unsplash.com

Источник изображения: Maxence Pira / unsplash.com

TSMC уже приступила к планированию строительства завода, способного выпускать продукцию по нормам 1 нм, в научном парке Цзяи — компания явно уверена, что продолжит развиваться в области полупроводников и сохранит доминирование на рынке производства чипов. Об этом сообщает тайваньское издание United Daily News. Компания направила властям города предложение о застройке участка площадью 100 га — он будет поделён 40/60 между заводами по производству упаковки и чипов по нормам 1 нм. TSMC, как сообщается, опередила всех других претендентов.

Инвестиции компании в технологию 1 нм составляют более 1 трлн тайваньских долларов ($32 млрд). В декабре TSMC представила дорожную карту, указывающую на намерение запустить производство чипов по нормам 1 нм к концу десятилетия. В сочетании с трёхмерной гетерогенной интеграцией она сможет выпускать процессоры с более чем 1 трлн транзисторов на чипе.

Apple первой получит 2-нм процессоры от TSMC

В последние годы затраты, необходимые для перевода продукции на очередную ступень литографии, становятся всё более высокими, а потому позволить себе поддержание закона Мура могут только крупные и успешные компании. Apple старается этот статус поддерживать, а потому регулярно становится первым клиентом TSMC в освоении новых техпроцессов, и 2-нм не станет исключением, как считают тайваньские источники.

 Источник изображения: Apple

Источник изображения: Apple

На них традиционно ссылается издание DigiTimes, которое сообщает о готовности Apple стать первым клиентом TSMC, получающим от неё 2-нм продукцию. Её массовый выпуск запланирован на вторую половину 2025 года, о чём в очередной раз на днях напомнили представители TSMC. Компания построит на Тайване как минимум два новых предприятия, которые будут специализироваться на выпуске такой продукции. В рамках 2-нм техпроцесса TSMC намеревается использовать транзисторы с окружающим затвором и нанолистами (GAAFET + nanosheet), которые отличаются от предшественников более высокой скоростью переключения и более низким рабочим напряжением.

Принято считать, что и 3-нм техпроцесс Apple освоила в числе первых клиентов TSMC, и даже выкупила всю квоту на выпуск профильной продукции до конца 2023 года. Напомним, что в прошлом году TSMC от реализации 3-нм изделий получила 6 % всей выручки, но до третьего квартала не упоминала выручку от поставок 3-нм чипов в своей отчётности, и это в какой-то мере подтверждает тесную взаимосвязь с Apple, чьи новинки на базе 3-нм процессоров начали выходить на рынок в значимых количествах только во втором полугодии.

По слухам, к 2027 году TSMC рассчитывает наладить выпуск чипов по 1,4-нм технологии, наверняка и в этом случае Apple окажется в числе первых клиентов тайваньского подрядчика. Даже в своём нынешнем виде процессоры Apple помогают компании отказаться от использования решений сторонних поставщиков в данной сфере не только в мобильном, но и в настольном сегменте, и дальнейшее сотрудничество с TSMC должно будет только закрепить это преимущество.

TSMC построит две новые фабрики для массового производства 2-нм чипов

Taiwan Semiconductor Manufacturing Co. (TSMC), лидирующий мировой производитель полупроводников, объявил о начале строительства двух новых фабрик для разработки и изготовления чипов, основанных на передовом 2-нанометровом техпроцессе (N2). Дополнительно ведутся подготовительные работы для строительства третьей фабрики, которое предстоит начать после получения одобрения от правительства Тайваня.

 Источник изображения: TSMC

Источник изображения: TSMC

Марк Лю (Mark Liu), председатель совета директоров TSMC, поделился планами компании во время разговора с аналитиками и инвесторами, выразив уверенность в начале массового производства чипов с использованием 2-нм техпроцесса уже в 2025 году. Он также упомянул о стремлении компании развернуть несколько производственных площадок в научных парках Хсинчу и Каосюн для удовлетворения растущего спроса.

Первая фабрика будет размещена вблизи Баошаня в Хсинчу, недалеко от исследовательского центра R1, который был специально создан для разработки 2-нм технологии. Ожидается, что фабрика приступит к массовому производству 2-нм полупроводников уже во второй половине 2025 года. Вторая фабрика, также предназначенная для производства 2-нм чипов, будет находиться в научном парке Каосюн, входящем в состав Южного научного парка Тайваня. Её запуск планируется на 2026 год.

Дополнительно, TSMC активно работает над получением разрешений от властей Тайваня на строительство ещё одной фабрики в научном парке Тайчжун. Если строительство этого объекта начнётся в 2025 году, он сможет начать свою работу уже в 2027 году. С вводом в строй всех трёх фабрик, способных выпускать чипы с использованием 2-нм техпроцесса, TSMC существенно укрепит свои позиции на мировом рынке полупроводников, предложив клиентам новые мощности для производства чипов нового поколения.

В планах компании на ближайшее будущее — начало массового производства с применением 2-нм техпроцесса, включающего использование транзисторов с нанолистами и круговыми затворами (GAA) во второй половине 2025 года. К 2026 году предполагается внедрение усовершенствованной версии этого техпроцесса, который, как ожидается, будет предусматривать подачу питания с обратной стороны кристалла, расширяя таким образом возможности массового производства.

TSMC создала улучшенную магниторезистивную память — она потребляет в 100 раз меньше энергии

Компания TSMC вместе с учёными Тайваньского НИИ промышленных технологий (ITRI) представила совместно разработанную память SOT-MRAM. Новое запоминающее устройство предназначено для вычислений в памяти и для применения в качестве кеша верхних уровней. Новая память быстрее DRAM и сохраняет данные даже после отключения питания, и она призвана заменить память STT-MRAM, потребляя при работе в 100 раз меньше энергии.

 Экспериментальная пластина с чипами SOT-MRAM. Источник изображения: TSMC / ITRI

Экспериментальная пластина с чипами SOT-MRAM. Источник изображения: TSMC / ITRI

На роль кеш-памяти верхних уровней (от L3 и выше) и для вычислений в памяти, среди прочих перспективных вариантов энергонезависимой памяти, долгое время претендовала магниторезистивная память с записью с помощью переноса спинового момента (STT-MRAM). Этот вариант памяти передавал намагниченность запоминающей ячейке через туннельный переход с помощью спин-поляризованного тока. За счёт этого потребление энергии STT-MRAM оказалось кратно меньше потребления обычной памяти MRAM, в которой запись осуществлялась наведённым электромагнитным полем.

Память SOT-MRAM идёт ещё дальше. Запись (намагниченность) ячейки — слоя ферромагнетика — происходит с помощью спин-орбитального вращательного момента. Эффект проявляется в проводнике в основании ячейки в процессе комбинации двух явлений: спинового эффекта Холла и эффекта Рашбы—Эдельштейна. В результате на соседний с проводником ферромагнетик воздействует индуцированное магнитное поле со стороны спинового тока в проводнике. Это приводит к тому, что для работы SOT-MRAM требуется меньше энергии, хотя настоящие прорывы ещё впереди.

 Марруты токов записи и чтения для двух типов ячеек MRAM. Источник изображения: National University of Singapore

Маршруты токов записи и чтения для двух типов ячеек MRAM. Источник изображения: National University of Singapore

Другие преимущества памяти SOT-MRAM заключаются в раздельных схемах записи и чтения, что положительно сказывается на производительности, а также увеличенная устойчивость к износу.

«Эта элементарная ячейка обеспечивает одновременное низкое энергопотребление и высокоскоростную работу, достигая скорости до 10 нс, — сказал доктор Ши-Чи Чанг, генеральный директор исследовательских лабораторий электронных и оптоэлектронных систем ITRI. — Её общая вычислительная производительность может быть дополнительно повышена при реализации схемотехники вычислений в памяти. Заглядывая в будущее, можно сказать, что эта технология обладает потенциалом для применения в высокопроизводительных вычислениях (HPC), искусственном интеллекте (AI), автомобильных чипах и многом другом».

Память SOT-MRAM с задержками на уровне 10 нс оказывается ближе к SRAM (задержки до 2 нс), чем обычная память DRAM с задержками до 100 нс и выше. И конечно, она существенно быстрее популярной сегодня 3D NAND TLC с задержками от 50 до 100 мкс. Но в процессорах и контроллерах память SOT-MRAM появится не завтра и не послезавтра, как не стала востребованной та же память STT-MRAM, которая разрабатывается свыше 20 лет. Всё это будущее и не очень близкое, хотя, в целом, необходимое для эффективных вычислений в памяти и устройств с автономным питанием.

Samsung запустила производство по улучшенной 3-нм технологии — первые чипы пропишутся в носимой электронике

Ещё в конце июня 2022 года Samsung Electronics объявила о начале массового выпуска продукции по 3-нм технологии, но позже выяснилось, что первыми клиентами корейского контрактного производителя в данном случае оказались китайские разработчики чипов для майнинга, обладающих достаточно простой структурой. Сейчас Samsung начинает выпускать чипы по 3-нм технологии второго поколения и делает на неё большие ставки.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Во всяком случае, южнокорейское издание The Chosun Daily со ссылкой на осведомлённые источники сообщило, что Samsung Electronics уже приступила к производству продукции по второму поколению 3-нм техпроцесса. Как и в рамках первого поколения, используется структура транзисторов с окружающим затвором (GAA), что делает соответствующий техпроцесс достаточно сложным в освоении. Сейчас Samsung выпускает прототипы чипов по второму поколению 3-нм технологии для внутреннего тестирования, и в ближайшие шесть месяцев рассчитывает довести уровень выхода годных чипов более чем до 60 %.

Первыми серийные 3-нм чипы второго поколения в исполнении Samsung примерят носимые умные устройства, а именно — часы Galaxy Watch 7, дебют которых должен состояться в текущем году. Уже в следующем году выйдет семейство смартфонов Galaxy S25, которое тоже будет оснащаться собственными процессорами Samsung семейства Exynos 2500, изготавливаемыми по 3-нм технологии второго поколения.

Если 3-нм продукция второго поколения Samsung окажется достаточно удачной, то компания рассчитывает привлечь заказы компании Qualcomm, с которой у неё сложились не самые простые отношения в рамках 4-нм технологии. Сейчас Qualcomm размещает заказы на производство своих мобильных процессоров у TSMC, но Samsung рассчитывает получить от неё новые заказы уже в рамках второго поколения 3-нм технологии. В третьем квартале прошлого года TSMC контролировала 57,9 % мирового рынка услуг по контрактному производству чипов, а Samsung Electronics довольствовалась только 12,4 %. В интересах Samsung привлекать новых клиентов на этом направлении бизнеса.

TSMC продолжит наращивать мощности по упаковке чипов и предложит технологию нового поколения

В июле прошлого года руководство TSMC пообещало нарастить мощности по упаковке чипов методом CoWoS в два раза к концу текущего года, тем самым рассчитывая победить дефицит подобных услуг, порождённый ростом спроса на ускорители для систем искусственного интеллекта. Теперь TSMC признаётся, что наращивать мощности придётся и в следующем году, но при этом компания готовит новое поколение упаковки CoWoS.

 Источник изображения: NVIDIA

Источник изображения: NVIDIA

Напомним, именно упаковку CoWoS используют ускорители вычислений NVIDIA, используемые в составе многих систем искусственного интеллекта. По сути, дефицит таких ускорителей во многом порождён ограниченностью возможностей TSMC по упаковке и тестированию чипов с использованием данной технологии. Компания делает всё возможное для устранения дефицита, хотя с точки зрения величины капитальных затрат на текущий год изменения в этой не прослеживаются. На этой неделе стало известно, что в этом году TSMC потратит от $28 до $32 млрд на расширение производственных мощностей и освоение новых технологий, причём на упаковку будет потрачено в лучшем случае не более 10 % этой суммы. Данные затраты примерно сопоставимы с прошлогодними, из чего можно сделать вывод, что экспансия профильных мощностей будет происходить линейно, без резких скачков в производительности.

Генеральный директор TSMC Си-Си Вэй (C.C. Wei) на квартальной отчётной конференции признал, что спрос на услуги в сфере упаковки чипов очень высок. Ситуация по-прежнему такова, что TSMC не в силах удовлетворить спрос со стороны клиентов. «Это состояние, возможно, продлится вплоть до следующего года. Впрочем, мы очень активно работаем над тем, чтобы увеличить мощности. В этом году, например, мы их удваиваем, но этого всё равно недостаточно, поэтому мы продолжим их увеличивать и в следующем году», — заявил глава TSMC, добавив, что компания вкладывает средства в сопутствующие технологии на протяжении более 10 лет. По его оценкам, в ближайшие пять лет сегмент CoWoS будет расти более чем на 50 % ежегодно в среднем. TSMC вполне по силам покрыть весь спрос со стороны клиентов.

Глава компании отказался отвечать на вопрос о темпах роста упаковочных мощностей TSMC в следующем году. Говоря о крупном клиенте, в котором угадывается NVIDIA, руководитель TSMC заявил об усердной работе над обеспечением его потребностей адекватными производственными мощностями, но до 100-процентного решения проблемы пока далеко. Для этого клиента TSMC уже разрабатывает новое поколение упаковки CoWoS, и её характеристиками уже впечатлён не только данный клиент, но и все прочие, поэтому компания не сомневается в необходимости дальнейшего увеличения профильных мощностей.

AMD убрала с процессоров Ryzen упоминания о тайваньском происхождении, но политика здесь ни при чём

Исторически процессоры AMD изготавливались в Германии, но в марте 2009 года местные предприятия компании были переданы вновь образованной GlobalFoundries, а в дальнейшем сама AMD стала всё более активно заказывать кристаллы процессоров у тайваньской TSMC. Недавно упоминание об участии Тайваня в производстве процессоров исчезло с крышек чипов семейства Ryzen, но не по политическим причинам.

 Источник изображения: X, MEGAsizeGPU (Zed Wang)

Источник изображения: X, MEGAsizeGPU (Zed Wang)

До некоторых пор AMD предпочитала перечислять на крышках своих процессоров основные страны, задействованные в их производстве. Часть кристаллов в составе одного процессора изготавливалась на Тайване, часть в Германии, а окончательную сборку и тестирование процессор обычно проходил в Малайзии. Теперь, как отмечает Tom’s Hardware, упоминание о Тайване из маркировки процессоров AMD Ryzen пропала. Фигурирует только страна окончательной сборки и тестирования — Малайзия (на фото справа).

При этом пустое пространство, где ранее присутствовала фраза «Diffused in Taiwan», на крышке процессора с новым типом маркировки осталось нетронутым. Общественность, ставшая свидетелями этих изменений, быстро породила теорию о том, что упоминание Тайваня в качестве самостоятельного государства не нравилось клиентам в Китае, который считает остров частью своей территории, и компания AMD якобы просто пошла навстречу пожеланиям китайской публики.

В действительности, как пояснили представители AMD ресурсу Tom’s Hardware, компания просто унифицировала маркировку всех процессоров в 2023 году, удалив из неё упоминания о стране, в которой происходит обработка кристаллов, являющихся частью будущего процессора. Конечный этап производства процессора происходит в Малайзии, именно упоминание об этой стране в итоге красуется на крышке чипа. По неофициальным данным, унификация проводилась с продукцией Xilinx, которую AMD купила в 2022 году. Поскольку Xilinx придерживалась других принципов маркировки, которые и решено было распространить на всю продукцию AMD.

Вторая фабрика TSMC в Японии может освоить выпуск 7-нм чипов

Обсуждение планов TSMC по строительству предприятий за пределами Тайваня вчера преимущественно свелось к новостям о задержке со строительством второй фабрики в Аризоне, но в действительности руководство компании на отчётном мероприятии подробно говорило и о проектах в других странах. В частности, в Японии может появиться второе предприятие TSMC, которое освоит выпуск 7-нм чипов.

 Источник изображения: TSMC

Источник изображения: TSMC

Представители компании на отчётной конференции сочли нужным напомнить, что первое производство TSMC в Японии, которое будет эксплуатироваться совместно с партнёрами в лице Sony и Denso, уже построено и готово начать серийный выпуск продукции в четвёртом квартале текущего года. На 24 февраля намечена торжественная церемония его открытия. Руководство TSMC пояснило, что на этом предприятии будет выпускаться продукция по 12-нм, 16-нм, 22-нм и 28-нм технологиям.

Председатель совета директоров TSMC Марк Лю (Mark Liu) отметил, что сейчас серьёзным образом рассматривается возможность строительства в Японии второго предприятия. По крайней мере, активные переговоры с японскими властями уже ведутся, и местное правительство демонстрирует высокую готовность к сотрудничеству. Какой на новом предприятии будет использоваться техпроцесс, пока не решено окончательно, но выбирать предстоит из сочетания 7-нм, 12-нм и 16-нм. Попутно представитель TSMC заявил, что тайваньская фабрика компании в Гаосюне, которое первым освоило выпуск продукции по 28-нм и 7-нм технологиям, сейчас модернизируется для выпуска 2-нм изделий.

Относительно первого завода TSMC в Аризоне было сказано, что выпуск продукции по технологии N4 на нём планируется начать в первой половине 2025 года. Представители компании уверены, что эта площадка сможет обеспечить такой же уровень качества продукции, как и предприятия на Тайване.

В Европе TSMC намеревается при поддержке местных промышленных партнёров построить предприятие по контрактному производству чипов с использованием зрелых техпроцессов. Власти Германии, по словам представителей TSMC, по-прежнему демонстрируют готовность оказать всемерную поддержку данному проекту на всех уровнях, с этой точки зрения ничего не изменилось. Строительство немецкого завода TSMC планируется начать в четвёртом квартале текущего года.

Безусловно, при этом TSMC продолжает активно инвестировать в развитие своих производственных мощностей на Тайване. В соответствии с ростом спроса расширяется выпуск 3-нм продукции. Следующим этапом в 2025 году станет выпуск изделий по техпроцессу N2. В технопарках Синьчжу и Гаосюна планируется ввести в строй несколько предприятий или корпусов, специализирующихся на выпуске 2-нм продукции.

Дополнительно финансовый директор TSMC Уэнделл Хуанг (Wendell Huang) пояснил, что из запланированных на 2024 год капитальных вложений в размере от $28 до $32 млрд на освоение передовой литографии планируется потратить от 70 до 80 % указанной суммы, на долю зрелых техпроцессов останется от 10 до 20 %, а производство масок, упаковка и тестирование чипов сообща будут довольствоваться 10 % данной суммы. В текущем году амортизационные расходы компании вырастут на 30 %, поскольку переход на 3-нм технологию потребует быстрее обновлять эксплуатируемое оборудование.


window-new
Soft
Hard
Тренды 🔥