Сегодня 27 апреля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Offсянка

Будущее чипмейкерства: FEL, SSMB, наноимпринт — или всё-таки LPP EUV?

⇣ Содержание

В феврале 2024-го голландская компания ASML, единственный в мире производитель наиболее передового оборудования для полупроводниковой фотолитографии, объявила о начале работ над новейшей машиной стандарта High NA EUV. Уже известно о наличии от 10 до 20 заказов на эти агрегаты размером с двухэтажный автобус и ценой под 350 млн долл. США, — а к 2028 г. вендор намерен выйти на темпы их поставки в 20 единиц за год. Предполагается, что вся «субнанометровая» (с номинальной технологической нормой «1 нм» и менее) фотолитография в обозримой перспективе будет производиться с применением именно таких машин. И что, соответственно, не имеющие к ним доступа страны (вроде КНР, поставки которой EUV-, а в перспективе и DUV-оборудования прямо запрещены американским Минторгом) уже вскоре окажутся отброшены на обочину столбовой дороги планетарного технического прогресса. Так ли это на самом деле — и действительно ли экстремальной ультрафиолетовой фотолитографии нет альтернативы в плане дальнейшей миниатюризации полупроводниковых технологий?

 Одна из завершающих стадий сборки установки High NA EUV на фабрике в голландском Вельдховене: блок с системой зеркал перемещают к основному модулю с источником излучения (источник: ASML)

Одна из завершающих стадий сборки установки High NA EUV на фабрике в голландском Вельдховене: блок с системой зеркал перемещают к основному модулю с источником излучения (источник: ASML)

#Каменное, каменное дно

По-древнегречески λίθος значит «камень», и словом «литография» в дополупроводниковую эпоху обозначали как процесс получения бумажных оттисков с особым образом обработанных каменных пластин, так и сами эти оттиски. На ровном плоском камне, плотном известняке, жирным или маслянистым красителем — чаще всего восковым карандашом — создавали изображение, после чего заливали каменную пластину водным раствором гуммиарабика с добавлением небольшого количества азотной кислоты. Там, где поверхность известняка не была защищена жировой плёнкой, этот раствор слегка протравливал её, делая гидрофильной (склонной впитывать воду). После такой обработки по отмытому от химикатов и смоченному водой камню прокатывали валик с чернилами на масляной основе, — и чернила эти задерживались исключительно на гидрофобных (отталкивающих воду) участках; тех самых, что представляли собой штрихи исходного изображения. Далее оставалось лишь положить сверху лист бумаги и хорошенько прижать прессом, чтобы получить чёткий и ясный отпечаток.

Позже процесс усовершенствовали, перейдя от известняка и воска к алюминию и искусственным химикатам, но общий принцип остался тем же: создание образа будущего рисунка на поверхности пластины — выборочное неглубокое протравливание с гидрофилизацией открытых участков — очистка и применение готового штемпеля. Важно отличать литографию (и её прямую наследницу — офсетную печать) от гравирования на металле или дереве: последние две техники подразумевают выемку значительной части исходного материала основы-штемпеля, тогда как литографический камень после травления остаётся по сути плоским. Удерживать чернила на нужных участках, перенося их затем на бумагу, позволяет изменение химических свойств поверхности (обработанный кислотой известняк превращается в гидрофильный нитрат кальция, а гуммиарабик закрывает поры в структуре камня, не позволяя маслянистому красителю физически выходить за пределы гидрофобных зон), а не разница высот.

 Литографический камень с планом части Мюнхена начала XIX века (слева) и оттиск с него (источник: Wikimedia Commons)

Литографический камень с планом части Мюнхена начала XIX века (слева) и оттиск с него (источник: Wikimedia Commons)

В полупроводниковой фотолитографии основой для создания упорядоченных структур тоже служит плоская пластина — полупроводниковая, чаще всего кремниевая; покрываемая фоторезистом, который после засветки довольно жёстким излучением через особую фотомаску меняет свои химические свойства на провзаимодействовавших с фотонами участках. Далее эти участки подвергаются некоему дополнительному воздействию — это может быть химическое либо плазменное травление, внедрение ионов и пр., — что и позволяет создавать на поверхности пластины-основания сложные полупроводниковые структуры. Об этом довольно подробно рассказывалось в наших прежних материалах о достижениях и трудностях в производстве микросхем, так что в детали здесь погружаться не станем. Главное — тот факт, что предельно достижимое фотолитографическими методами разрешение (минимальный характерный размер структур на поверхности кремниевой пластины) определяется в конечном итоге длиной волны применяемого для засветки излучения. Да, путём целого ряда ухищрений удаётся 193-нм лазером создавать чипы по «7-нм» и даже «5-нм» производственным нормам, но дальнейшая миниатюризация требует перехода уже к экстремальному ультрафиолету (EUV), по сути мягкому рентгеновскому излучению, — с длиной волны 13,5 нм.

Технология EUV-фотолитографии начала развиваться ещё в 1990-х рядом исследовательских центров, финансируемых американским Министерством энергетики: лабораториями Sandia, Berkeley и Lawrence. Так, в 1997-м Sandia запатентовала источник экстремального ультрафиолетового излучения, а в 2002-м в сотрудничестве с TRW впервые продемонстрировала работоспособный инженерный стенд для полупроводниковой фотолитографии с длиной волны 13,5 нм. В те времена безусловным глобальным лидером микропроцессорной индустрии выступала компания Intel — и как раз её усилиями в конце 1990-х была образована Extreme Ultraviolet Limited Liability Company (EUV LLC). Эта структура, в состав которой помимо самой Intel вошли Motorola и AMD, образовала с лабораториями Министерства энергетики партнёрство на основе договора о совместных исследованиях и разработках.

 Сотрудники фабрики Carl Zeiss в немецком Оберкохене у огромной вакуумной камеры, в которой производятся испытания оптической системы для новейшего фотолитографа High NA EUV (источник: ASML)

Сотрудники фабрики Carl Zeiss в немецком Оберкохене у огромной вакуумной камеры, в которой производятся испытания оптической системы для новейшего фотолитографа High NA EUV (источник: ASML)

Со временем в EUV-LLC вошли уже упоминавшаяся голландская ASML и компания SVGL, на тот момент единственный в США поставщик шаговых двигателей необходимой для фотолитографических машин точности. Велись также переговоры о присоединении к EUV-консорциуму японских Canon и Nikon, германской Infineon Technologies и других компаний, однако Министерство торговли США прямо воспротивилось включению любых иностранных предприятий (за исключением всё той же ASML) в эти чрезвычайно перспективные разработки. В 2000 г. ASML приобрела SVGL за 1,8 млрд евро — и оказалась таким образом единственной на планете коммерческой компанией, располагающей правами на пользование патентованными разработками в области EUV-фотолитографии.

Таким образом возникло то, что называется в экономике естественной монополией: по сути единственным (не единственным вообще, но единственным пригодным для быстрого и массового производства) способом получить 13,5-нм излучение до сих пор остаётся сложный и чрезвычайно энергозатратный метод — подразумевающий испарение мощным лазером 20-30-микронных в диаметре капелек жидкого сверхчистого олова, падающих в особой камере с частотой 50 тыс. единиц в секунду (как раз образующаяся в результате этой процедуры плазма и формирует поток EUV-фотонов). И поскольку огромное количество технологических процессов, инженерных решений, целых рабочих узлов такой установки защищены не передаваемыми на сторону патентами, получить каким-то аналогичным образом создаваемую лазером плазму (laser-produced plasma, LPP) сторонним разработчикам попросту невозможно.

Не добавляют пространства для инженерного манёвра и чисто физические ограничения: хотя LPP-технология с применением различных веществ в принципе даёт возможность получать излучение с длинами волн от 4 до 20 нм, пригодный для промышленного применения почти монохроматический спектр с ярко выраженным максимумом возможен лишь в случае олова — те самые 13,5 нм. То есть даже формально вырваться за очерченные патентами пределы, предложив вместо олова какое-то иное рабочее тело, не выходит.

 Принципиальная схема EUV-фотолитографа: углекислотный лазер располагается под полом основной установки, львиная доля объёма которой приходится на блок генерации плазмы (источник: ASML)

Принципиальная схема EUV-фотолитографа: углекислотный лазер располагается под полом основной установки, львиная доля объёма которой приходится на блок генерации плазмы (источник: ASML)

Словом, пока американские власти продолжают блокировать передачу патентов на EUV-разработки (в немалой мере финансировавшиеся правительственными агентствами США, отметим ради справедливости) сторонним производителям фотолитографического оборудования, монополия ASML в области изготовления машин для чипмейкерских работ от «3 нм» и вниз по размерной шкале продолжит сохраняться. Другое дело, что за последние годы развивается целый ряд многообещающих технологий, хотя бы одна из которых — а возможно, и не одна — имеет шанс стать основой для продолжения миниатюризации производственных норм в полупроводниковой индустрии без привлечения EUV. По каким же именно направлениям идут такие исследования?

#Так лучше меньше или больше?

Строго говоря, если бы производственный процесс LPP EUV целиком и полностью устраивал конечных заказчиков — разработчиков полупроводниковых микросхем, монополия ASML на изготовление соответствующих машин не оказалась бы для мирового ИТ-рынка тяжёлым обременением. Этот рынок вообще склонен к монополизму, поскольку тот значительно упрощает стандартизацию, — взять хотя бы интерфейс USB (на который не так давно согласилась-таки перейти даже Apple, прекратив многолетнее развитие собственных разъёмов Lightning) или «открытые» (до известных пределов) процессорные архитектуры x86 и ARM. Всевозможные чипы востребованы в мире в таких объёмах, что, по сути, 350 млн долл. будет стоить наиболее передовая машина для их поточного производства, 500 млн или 750, — разница для конечного потребителя окажется не слишком велика. Загвоздка в том, что уже в нынешнем своём состоянии технология LPP EUV вызывает у представителей отрасли определённые опасения — в плане возможности дальнейшего сколько-нибудь экономически адекватного её совершенствования.

 Эволюция технологических процессов TSMC от «16 нм» до «3 нм» по годам; в блоках с примечаниями указано, на какой процент новая производственная норма обеспечивает прирост производительности или снижение мощности по сравнению с предыдущей (источник: WikiChip)

Эволюция технологических процессов TSMC от «16 нм» до «3 нм» по годам; в блоках с примечаниями указано, на какой процент новая производственная норма обеспечивает прирост производительности или снижение мощности по сравнению с предыдущей (источник: WikiChip)

Живой пример: если первый освоенный TSMC вариант «3-нм» техпроцесса, N3B (basic), предусматривал 25 процедур последовательного экспонирования заготовки EUV-излучением (плюс, в каждом таком цикле, сопутствующие этапы нанесения фоторезиста, травления, смывки и пр.), то следующий за ним N3E (enhanced) — уже 19. Не больше операций — ради получения более плотных структур методом двухпроходного фотолитографирования, например, — а меньше! В чём причина?

Независимые эксперты полагают — понятно, что сами чипмейкеры и разработчики машин для них воздерживаются от публичных дискуссий по столь чувствительной теме, — что дело как раз в чрезвычайно низкой энергоэффективности индуцированной лазером оловянной плазмы как источника излучения. Мы уже довольно подробно останавливались на трудностях, с которыми сталкиваются инженеры в ходе фотолитографирования с длиной волны 13,5 нм, и отмечали, что основная причина проблем с дальнейшей миниатюризацией полупроводникового техпроцесса — слишком высокая энергия каждого отдельного фотона, что долетает до слоя фоторезиста на заготовке будущего чипа.

Чем ближе к десяткам и тем более единицам ангстрем фактический характерный размер структур на поверхности микросхемы, тем большее влияние на качество готового устройства оказывает стохастика. Проявляется она как в неравномерности распределения молекул фоторезиста по покрытой этим веществом поверхности, так и в случайном характере порождаемых мощными EUV-фотонами в толще фоторезиста вторичных каскадов частиц. В результате каждая очередная ступенька вниз по лестнице «x-нм» производственных норм обходится многократно дороже предыдущей: исходная доля выхода годных чипов со стандартной пластины, как правило, смехотворно мала, и требуются недюжинные инженерные усилия для повышения её до минимально пригодных при массовом производстве 70-80%. Здесь важно понимать, что стохастически обусловленные ошибки (failures) — это не технологические дефекты (defects), которые можно элиминировать совершенствованием нормативов и процедур: начиная с определённого масштаба (и освоенные ныне чипмейкерами «3 нм» к нему уже опасно близки) случайная и принципиально непредсказуемая природа их возникновения буквально сводит на нет все усилия по борьбе с ними.

 Микрофотографии стохастически обусловленных ошибок при EUV-фотолитографировании: разрыв сплошной лини (слева) и нежелательное соединение линий; характерное расстояние между линиями — 30 нм (источник: The Japan Society of Applied Physics)

Микрофотографии стохастически обусловленных ошибок при EUV-фотолитографировании: разрыв сплошной линии (слева) и нежелательное соединение линий; характерное расстояние между линиями — 30 нм (источник: The Japan Society of Applied Physics)

Едва ли не единственный способ эффективно противодействовать проявлениям стохастики — вернуться от единичных событий к большим числам; оперировать не десятками и единицами, а сотнями, лучше тысячами частиц, — чтобы «дурное поведение» отдельных фотонов усреднялось и сглаживалось. Но вот загвоздка: фотон с длиной волны 13,5 нм обладает в 14 раз большей энергией, чем 193-нм, и потому если на 1 нм² стандартного фоторезиста, нуждающегося для «срабатывания» в экспонировании дозой не менее 10 мДж/см², требуется 97 DUV-фотонов, то более мощных EUV-частиц — всего лишь 7. Относительная неопределённость измерения обратно пропорциональна квадратному корню из числа событий, и тогда как для почти сотни 193-нм фотонов она находится во вполне приемлемом интервале ±10% (что, собственно, и позволяет инженерам теоретически повышать долю выхода годных чипов с пластины до 90%, постепенно устраняя производственные дефекты), то для семёрки 13,5-нм частиц неопределённость моментально выстреливает до ±40% — и этот барьер полировкой цапф, протиркой оптических осей и иными чисто процедурными методами не преодолеть.

Выходов здесь, по большому счёту, два: увеличивать дозу экспонирования EUV-излучением нужно либо за счёт повторных засветок (вместо одного импульса производить, скажем, десяток) — либо путём повышения мощности источника излучения, концентрируемой в единичном импульсе. Первый метод привлекает своей простотой, однако в случае поточного производства та оказывается хуже воровства, поскольку кратно продлевает время обработки каждой пластины-заготовки, да вдобавок ровно таким же образом повышает относительный (в пересчёте на одну готовую пластину) износ внутренних элементов фотолитографа: брэгговских зеркал, фотоматрицы и пр. Единственный способ за разумное время вернуть вложения в неимоверно дорогие EUV-фотолитографы — как можно скорее вывести их на максимальную производительность (измеряемую количеством условных годных чипов в час), а осознанное торможение производственного процесса в несколько раз этому с очевидностью не способствует.

Второй метод нарастить число попадающих на фоторезист фотонов — кратно повысить мощность единичного потока экстремального ультрафиолетового излучения. Однако LPP-узел генерации таких частиц весьма дурно поддаётся масштабированию по мощности: если первые EUV-машины оперировали 250-Вт генераторами рабочего пучка, то передовые установки High NA EUV нуждаются уже в 600 Вт — и это практически предел, за которым чрезмерно плотный поток высокоэнергичных частиц примется разрушать элементы оптического тракта системы со скоростью, просто-таки неприемлемой для ориентированного на прибыльность бизнеса. В конце концов, поступательная миниатюризация технологических процессов при производстве полупроводников реализуется не из чистой любви к решению нерядовых инженерных задач, а в целях получения ощутимой прибыли за вполне разумные сроки.

 Блоки углекислотных лазеров для EUV-фотолитографии тоже производит единственная в мире компания, — TRUMPF из германского Дитцингена (источник: TRUMPF)

Блоки углекислотных лазеров для EUV-фотолитографии тоже производит единственная в мире компания — TRUMPF из германского Дитцингена (источник: TRUMPF)

Согласно проведённой в 2019 г. специалистами Kioxia оценке, для эффективного фотолитографирования полупроводниковых структур с производственными нормами «3 нм» и «2 нм» (эффективного в том смысле, что плотность фотонов в рабочем пучке окажется достаточной, чтобы уменьшить влияние стохастических эффектов на качество) потребуются EUV-излучатели мощностью от 1,5 до 2,8 кВт. LPP-технология ни в нынешнем её состоянии, ни в обозримой перспективе на это не способна, и потому совершенно естественной представляется идея отказаться от сложного многоступенчатого способа получения потока 13,5-нм фотонов. Действительно, раз возиться с превращением олова в плазму лазерными импульсами бесперспективно, — может, удастся соорудить лазер, сразу же генерирующий когерентное излучение необходимой длины волны?

#Свободу электронам!

Теоретически подходящая конструкция излучателя науке известна — это предложенный ещё в 1971 г. Джоном Мэйди (John M. J. Madey) лазер на свободных электронах (free electron laser, FEL). Принцип работы устройства заключается в направлении пучка электронов, разогнанных до субсветовых скоростей внутри линейного ускорителя, в ондулятор или вигглер — череду последовательно расположенных магнитов с пространственно-периодическим поперечным полем. Более привычные лазеры на связанных в молекулах или атомах электронах не годятся для генерации экстремального ультрафиолета хотя бы потому, что существенным элементом их конструкции является оптический резонатор — ограничивающие объём рабочего тела полупрозрачное и прозрачное зеркала, — а какие технические проблемы порождает необходимость эффективно отражать EUV-излучение, мы детально разбирали в соответствующем материале ранее. Лазеры же на свободных электронах мало того что предусматривают возможность плавной (и в широком диапазоне) регулировки длины волны испускаемого излучения, так ещё и являются по сути классическими, а не квантовыми приборами, поскольку каждый электрон при прохождении через ондулятор успевает излучить десятки, а то и сотни тысяч фотонов. Тем самым автоматически снимается проблема стохастики — остаётся лишь подобрать такие фоторезистивные материалы, которые достойно выдерживали бы повышенную плотность потока высокоэнергетического излучения.

 Ондулятор — это просто! (источник: Wikimedia Commons)

Ондулятор — это просто! (Источник: Wikimedia Commons)

Японская организация по исследованию ускорителей высоких энергий (Japanese High-Energy Accelerator Research Organization; традиционно принятая аббревиатура от японоязычного её наименования — KEK) предложила недавно концептуальный прототип установки FEL EUV, специально ориентированный на применение в чипмейкерском деле. Машина протяжённостью 200 м (именно столько занимают прямолинейные участки её ускорителей) будет генерировать пучок 13,5-нм фотонов с мощностью 10 кВт и обойдётся, по предварительной оценке, в 400 млн долл., — плюс ещё около 40 млн на ежегодное обслуживание и сопровождение. В ряду её достоинств — масштабируемость (фотонный пучок на выходе может разделяться на параллельные потоки, обеспечивая работу не одного 10-кВт фотолитографа, а, скажем, сразу десяти по 1 кВт на каждый) и возможность перенастройки на иные длины волн (к примеру, на 6 нм, если 13,5 в обозримой перспективе окажется недостаточно, а то и на 1 нм). Вдобавок проект установки KEK весьма энергоэффективен: при потребляемой мощности в 7 МВт на каждый киловатт создаваемого ею рабочего пучка будет расходоваться, по оценке разработчиков, 0,7 МВт электроэнергии. В случае же LPP EUV этот показатель преобразования значительно ниже: 4,4 МВт энергозатрат на 1 кВт мощности фотонного пучка.

Разработки в области FEL ведутся на данный момент в Европе (проект European XFEL), США (проект SLAC), КНР (где уже есть планы к 2035 г. использовать лазеры на свободных электронах для полупроводниковой фотолитографии). Помимо установки с линейным ускорителем, получать высокоэнергетический электронный пучок возможно и на резонансных кольцевых ускорителях, прежде всего на синхротронах, — и проекты EUV-генератора киловаттных мощностей на базе синхротронов также уже рассматриваются. В частности, осенью 2023 г. стало известно, что китайский Университет Цинхуа готовит к запуску «литографическую пушку» — ускоритель со стационарным микрогруппированием электронов (steady-state microbunching, SSMB).

Принцип SSMB — предложенный в 2010 г. стэнфордским профессором Чжао У (Zhao Wu) и его учеником Дэниелом Ратнером (Daniel Ratner) — предусматривает накопление высокоэнергетических электронов в ускорительном кольце со стратификацией их по скоростям, для того чтобы вместо импульсного потока фотонов (как в линейном ускорителе) получать непрерывное излучение на определённых длинах волн. Хотя практическое воплощение SSMB EUV исследователями из Университета Цинхуа может занять, по оценкам экспертов, от 5 до 13 лет, в свете продолжающегося ужесточения рестрикций Минторга США на поставки чипмейкерского оборудования в КНР — не только собственно американского, но и произведённого в иных странах с применением американских патентов — иного способа заменить источник LPP EUV, похоже, здесь просто не существует.

 Проект EUV-лазера на свободных электронах и его основные характеристики (источник: KEK)

Проект EUV-лазера на свободных электронах и его основные характеристики (источник: KEK)

Правда, лиха беда начало: для независимого воспроизведения фотолитографа, способного выпекать микросхемы по технологическим нормам «3 нм» и менее, подобрать подходящий генератор потока 13,5-нм фотонов всё-таки недостаточно. Хотя FEL- или SSMB-источник позволяет реализовать более простую оптическую схему, чем LPP-конструкция с её десятком брэгговских отражателей, излучение на выходе из ускорителя всё равно придётся каким-то образом перенаправлять на фотомаску и далее на покрытую фоторезистом пластину-заготовку, — то есть сверхпрецизионные (отполированные буквально с нанометровыми допусками) сферические и асферические зеркала здесь так или иначе потребуются.

А изготовление такого рода оптики — совершенно особое направление инженерной мысли: не случайно ASML заказывает зеркала и линзы для своих машин у единственного на весь мир поставщика — германской Carl Zeiss AG. Понятно, что такое положение дел во многом обусловлено монополизмом голландских изготовителей EUV-фотолитографов: ни у какого другого производителя оптических систем, включая весьма достойных в этом отношении японцев (Canon, Nikon), за отсутствием потенциального рынка сбыта попросту не было необходимости развивать соответствующие компетенции на должном уровне. Вполне вероятно, что и китайские оптики сумеют рано или поздно начать поставки подходящих для поточного EUV-производства зеркал. Но развивать это направление необходимо отдельно от постройки и доведения до ума SSMB-ускорителя, что требует немалых дополнительных инвестиций — и, разумеется, времени. Напомним, что ASML начала работы по направлению EUV-фотолитографии в 1997 г., и только в 2022-м — четверть века спустя! — первый серийный аппарат этого класса начал поточное (а не экспериментальное, малыми партиями) производство чипов.

Впрочем, все рассуждения о разумной окупаемости больших инвестиций в микропроцессорное производство имеют смысл лишь в нормальных экономических условиях, в предельном случае — для сверхглобализованного мирового рынка, когда производственных мощностей одного поставщика EUV-оборудования (всё той же ASML) более чем достаточно для удовлетворения спроса со стороны считаных единиц лидирующих чипмейкеров (TSMC, Samsung Electronics, Intel). У которых, в свою очередь, уже любой разработчик микросхем из какой угодно страны — с необходимым доступным бюджетом, конечно, — имеет возможность заказать партию чипов по собственному инженерному дизайну (как это делали проектировщики российского Baikal-M, обращаясь к TSMC как к ODM-поставщику, например). В реалиях же цифровой деглобализации — которая пока не дошла ещё до уровня взаимно несовместимых протоколов и стандартов, но уже близка к тому, — себестоимость и окупаемость прорывных технологий становятся менее значимыми в сравнении с потенциальной их доступностью для насущных нужд того или иного государства. И в этом плане не стоит сбрасывать со счетов такую давным-давно известную чипмейкерскую технологию, как безмасочная литография — уже не «фото-», поскольку рабочим инструментом здесь служит пучок не фотонов, а высокоэнергетических электронов или ионов.

 Схема конструкции проектируемого в Университете Цинхуа SSMB-ускорителя: стратификация электронов по скоростям позволяет генерировать EUV-излучение с разными длинами волн и различного предназначения (источник: Tsinghua University)

Схема конструкции проектируемого в Университете Цинхуа SSMB-ускорителя: стратификация электронов по скоростям позволяет генерировать EUV-излучение с разными длинами волн и различного предназначения (источник: Tsinghua University)

Для применяемой в крупносерийном производстве проекционной фотолитографии едва ли не важнейшим критерием является производительность, измеряемая в количестве обрабатываемых за час пластин-заготовок (от загрузки их в фотолитограф до получения готового изделия, которое затем передаётся на специализированное предприятие для разрезания пластины на отдельные чипы, их тестирования и упаковки в корпуса с монтажными контактами). Поскольку экспонирование заготовки производится через фотомаску, сразу сравнительно крупными участками, производительность оказывается высокой — до 170 пластин в час для актуальных EUV-фотолитографов. Безмасочный же литограф вырисовывает необходимые структуры на поверхности пластины узким пучком заряженных частиц — процесс этот во многом напоминает лазерную гравировку, — так что скорость здесь драматически снижается примерно до 0,1 пластины в час. А если ещё учесть необходимость повторного экспонирования для ряда особо тонких операций, фактическая скорость выпекания готовых чипов может оказаться ещё меньше.

#Пучки, ионы, штампы

Собственно, безмасочный литограф возможен и на основе пучка мягких рентгеновских (либо экстремально ультрафиолетовых) фотонов — как у разрабатываемого Московским институтом электронной техники (МИЭТ) агрегата «на базе синхротронного и/или плазменного источника». Невысокая производительность такого устройства не является проблемой, когда потенциальный объём сбыта изготавливаемых на нём чипов исчисляют не миллиардами, а десятками и сотнями тысяч. Интересно, что фотомаска в предложенном литографе виртуально всё-таки присутствует, — её роль исполняет массив микрозеркал на основе матриц MEMS, что позволяет за счёт расширения диаметра пучка (и, соответственно, площади одномоментно экспонируемой им поверхности заготовки) быстрее выполнять литографирование полупроводниковых элементов с характерной детализацией 28 нм и менее.

Речь, надо полагать, в данном случае идёт не о номинальном, маркетинговом наименовании техпроцесса, а о физически достижимых разрешениях: к примеру, «3-нм» технологический процесс TSMC N3E, реализуемый посредством LPP EUV, обеспечивает характерное расстояние между соседними металлическими шинами (metal-metal pitch, MMP) на уровне 23 нм, а между соседними гребнями транзисторов (fin pitch) — 26 нм. Безмасочный литограф на высокоэнергетических фотонах вполне способен достичь аналогичных масштабов с использованием доступных уже сегодня технологий — оставляя пока в стороне вопрос, каким именно образом будет генерироваться рабочий поток 13,5-нм фотонов.

 «Зал ондуляторов» (Undulator Hall) в системе большого линейного ускорителя SLAC состоит из 32 ондуляторов по 224 мощных магнита в каждом (источник: Stanford University)

«Зал ондуляторов» (Undulator Hall) в системе большого линейного ускорителя SLAC состоит из 32 ондуляторов по 224 мощных магнита в каждом (источник: Stanford University)

Электронная литография, или литографирование электронным пучком (e-beam lithography), по сути мало чем отличается от рентгеновской безмасочной: здесь чувствительный — в данном случае к потоку электронов, а не фотонов — субстрат точно так же подвергается последовательному экспонированию узким пучком частиц. В результате «засвеченные» участки меняют свои химические свойства, что позволяет далее производить с заготовкой обычные для фотолитографии операции — закрепление, протравливание и пр. Электронная литография даёт возможность формировать на доступном ныне оборудовании (по сути, том же, что применяют для конструирования сканирующих электронных микроскопов) полупроводниковые структуры с характерным разрешением 10 нм и даже менее, вот только скорость обработки заготовок выходит чрезвычайно низкой даже в сравнении с безмасочной рентгеновской литографией. По этой причине электронные пучки применяют в основном для изготовления фотомасок и, реже, для мелкосерийного производства сложных полупроводниковых приборов.

Ионно-лучевая литография (ion beam lithography) развивает идею электронной, используя для образования пучка тяжёлые заряженные частицы — часто ионы водорода (протоны) или гелия. Увеличенная масса частиц в потоке позволяет создавать энергетически более плотные пучки; кроме того, тяжёлая бомбардировка специально подобранных подложек обеспечивает формирование на них тонких слоёв с новыми химическими свойствами — без необходимости предварительно наносить аналогичное фоторезисту полимерное вещество. К тому же тяжёлые частицы обладают значительной инерцией, что уменьшает их рассеяние в толще экспонируемого материала — и тем самым нивелирует характерные для EUV-фотонов стохастические эффекты даже для пучков сравнительно низкой плотности. Это позволяет обеспечивать более высокое разрешение, чем в случае электронной литографии, а использование примесей определённых элементов в ионном пучке даёт возможность выборочно легировать вещество подложки с высокой точностью и без применения химических методов. Правда, поскольку массивные ионы поглощаются экспонируемым материалом куда эффективнее более лёгких частиц, возникают сложности с формированием существенно трёхмерных структур (вроде гребней FinFET), — но с ними инженеры научились справляться. А вот сниженная скорость обработки заготовок в ходе ионно-лучевой литографии — даже в сравнении с электронной — продолжает оставаться её существенным недостатком.

 Полученное на сканирующем электронном микроскопе изображение наноструктур, изготовленных методом ионно-лучевой литографии; длина размерного отрезка (внизу справа) — 400 нм (источник: Microelectronic Engineering Journal)

Полученное на сканирующем электронном микроскопе изображение наноструктур, изготовленных методом ионно-лучевой литографии; длина размерного отрезка (внизу справа) — 400 нм (источник: Microelectronic Engineering Journal)

Кстати говоря, безмасочная литография куда в большей степени, чем LPP EUV, напоминает тот самый способ создания тиражируемых изображений на каменных пластинах, название с которым делит, только здесь для изменения химических свойств поверхности заготовки вместо воскового карандаша применяется пучок заряженных частиц. Однако до появления литографии как художественного процесса в конце XVIII века основным средством серийного изготовления оттисков столетиями была резцовая гравюра, главная особенность которой — существенное различие по высоте между приподнятыми фрагментами резного штемпеля, что прижимаются к бумаге, и заглублёнными, в которых накапливается краска. Сами же углубления получают либо глубоким травлением, либо физической выемкой материала.

Выясняется, что и для изготовления полупроводниковых наноструктур схожий по духу способ вполне подходит. В 2014 г. японская Canon приобрела американскую компанию Molecular Imprints и с тех пор деятельно, хотя и не слишком поспешно развивала новое направление в производстве микроэлектроники — наноимпринтную литографию (nanoimprint lithography, NIL). Точнее было бы называть этот метод «наноформовкой», поскольку сводится он к выравниванию на поверхности заготовки особым штемпелем (mold) — который сам, кстати говоря, предварительно гравируется с применением чаще всего электронно-лучевой литографии — предварительно нанесённого на неё фоторезиста. Специализированный струйный принтер с наноразмерными форсунками формирует на пластине контуры будущих полупроводниковых структур — те самые, что в случае фотолитографии образуются в областях, покрытых тенью от фотомаски. Затем штемпель, на котором выгравированы те же самые контуры (в инверсном виде: выступ на том месте, где на заготовке нужно будет углубление), прижимается к пластине — и капли фоторезиста под ним заполняют назначенные выемки, формируя наноструктуры необходимых конфигураций и размеров. Далее прямо сквозь прозрачный для ультрафиолетового излучения штемпель мощной вспышкой производится закрепление светочувствительного вещества. Иными словами, из производственного цикла здесь исключены не только процедура засветки фоторезиста через фотомаску, закрепления нужных участков, протравливания и смывки ненужных, но и весь громоздкий оптический узел — вместе с источником излучения и массивом линз/зеркал.

 Пошаговое сопоставление основных этапов полупроводниковой фотолитографии (сверху) и наноимпринтной литографии (источник: Canon)

Пошаговое сопоставление основных этапов полупроводниковой фотолитографии (сверху) и наноимпринтной литографии (источник: Canon)

Звучит до смешного просто, однако технических сложностей на пути превращения NIL в полноценного конкурента LPP EUV предстоит ещё преодолеть немало. Canon объявила в конце 2022 г. о начале строительства фабрики по производству наноимпринтных литографов, которая обойдётся компании в 345 млн долл. (оценка на момент начала работ) и, предположительно, начнёт выпускать готовую продукцию в 2025-м, — за это время потребуется довести до ума все этапы потокового изготовления микросхем на наноимпринтных агрегатах. И прежде всего выравнивание (с субнанометровой точностью!) последовательно накладываемых на заготовку масок, ведь и эти чипы, как любые современные их аналоги, будут содержать десяток-полтора слоёв различных межсоединений. Далее в перечне инженерных вызовов, с которыми сталкивается наноимпринтное чипмейкерское производство, — нарушение исходных пропорций штемпеля вследствие перепадов температур, необходимость обеспечить «чистое» (без прилипания — с последующим частичным отрывом и иными повреждениями — отпечатанных и закреплённых ультрафиолетом вертикальных наноструктур) отсоединение штемпеля от заготовки и пр. Но всё же овчинка стоит выделки: по оценке Canon, энергопотребление NIL на 90% меньше, чем у машины LPP EUV, изготавливающей микросхемы сопоставимого масштабного класса, а себестоимость обработки условной единичной пластины — на 50% меньше.

Анонсированный осенью 2023 г. наноимпринтный литограф FPA-1200NZ2C (надо полагать, в настоящее время такие машины штучно изготавливаются японской компанией на имеющихся предприятиях, пока возводится специально предназначенная для них фабрика) способен, по заверениям Canon, формировать на поверхности заготовки полупроводниковые структуры с фактическим разрешением 14 нм, что примерно эквивалентно «5-нм» процессу на аппаратах LPP EUV. В дальнейшем, утверждает разработчик, процедуры изготовления штемпелей и нанесения фоторезиста на заготовку могут быть усовершенствованы настолько, что обеспечат 10-нм фактическое разрешение — это уже будет соответствовать маркетинговой норме «2 нм». Для начала, как ожидает менеджмент Canon, NIL-машинами заинтересуются изготовители полупроводниковой памяти NAND, поскольку для этих многослойных чипов с крайне высокой повторяемостью структур в каждом слое гораздо выгоднее использовать повторное штемпелевание, чем многократную засветку через фотомаску (с неизбежным исчерпанием ресурса этого крайне дорогостоящего компонента).

 Первый в мире серийный наноимпринтный литограф, оперирующий в размерном классе «5 нм», — FPA-1200NZ2C (источник: Canon)

Первый в мире серийный наноимпринтный литограф, оперирующий в размерном классе «5 нм», — FPA-1200NZ2C (источник: Canon)

Так или иначе, на рубеже «2 нм» всего через год-два уже могут сойтись по меньшей мере три различных чипмейкерских технологии — LPP EUV, наноимпринт и безмасочная. Какая из них выйдет из этой борьбы победительницей, сказать сейчас крайне сложно. Тем более что, если деглобализация ИТ-отрасли продолжится нынешними темпами, вполне могут образоваться соседствующие и лишь частично пересекающиеся технологические ИТ-зоны — необязательно всего две, кстати, — в каждой из которых будет доминировать свой литографический процесс.

 
 
⇣ Содержание
Если Вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.
Вечерний 3DNews
Каждый будний вечер мы рассылаем сводку новостей без белиберды и рекламы. Две минуты на чтение — и вы в курсе главных событий.

window-new
Soft
Hard
Тренды 🔥
UserGate развернула облачный центр ИБ-мониторинга и анализа инцидентов 5 мин.
Российский «Яндекс» отчитался по результатам первого квартала 2024 года 2 ч.
Постъядерные каникулы: вышел новый трейлер амбициозного мода-долгостроя Fallout: Miami для Fallout 4 4 ч.
Обновлённый законопроект разрешит физлицам в РФ заниматься майнингом, но без фанатизма 5 ч.
Анонсирован VR-хоррор Alien: Rogue Incursion, который полностью погрузит игроков в ужасы вселенной «Чужого» 13 ч.
Российская пошаговая тактика «Спарта 2035» про элитных наёмников в Африке получила первый геймплей — демоверсия не выйдет 30 апреля 14 ч.
Власти США позвали Сэма Альтмана, Дженсена Хуанга и Сатью Наделлу помочь им с защитой от ИИ 16 ч.
«В команде явно продали души дьяволу»: игроков впечатлила работа Biomutant на Nintendo Switch, но производительность требует жертв 16 ч.
Microsoft открыла исходный код MS-DOS 4.00 и разместила его на GitHub 17 ч.
Большинство россиян не видит угрозы в ИИ 17 ч.
Key Point открыла первую очередь крупнейшего в Сибирском регионе коммерческого ЦОД 8 мин.
Межсетевой экран Solar NGFW получил ряд архитектурных доработок и расширенные настройки 2 ч.
Робот-пылесос Neatsvor U1MAX для сухой и влажной уборки обеспечит полностью автоматизированную очистку помещений 3 ч.
Японский спутник сделал «первое в истории» фото куска космического мусора крупным планом 3 ч.
Alphabet снова стоит дороже $2 трлн — таких компаний всего четыре 4 ч.
Госсекретарь США считает, что существование новейшего ноутбука Huawei доказывает избирательность санкций 5 ч.
Intel попытается привлечь средства инвесторов для расширения производства чипов в Ирландии 6 ч.
Apple возобновила переговоры с OpenAI и Google, чтобы выбрать подходящий ИИ для iPhone 7 ч.
Регулятор в США проверит декабрьское обновление автопилота Tesla, которое должно было улучшить безопасность 11 ч.
Новая статья: Обзор QD-OLED DQHD-монитора Samsung Odyssey OLED G9 G95SC: игровой универсал 13 ч.