Сегодня 05 июля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → 2-нм

Японская Rapidus запустит пилотное производство 2-нм чипов уже в 2025 году

Японский стартап Rapidus планирует открыть пилотное производство 2-нм чипов в апреле 2025 года, сообщил генеральный директор Ацуёси Койке (Atsuyoshi Koike). В освоении передового техпроцесса компании помогает IBM и глобальная научно-исследовательская организация Imec. Инновационная технология обработки пластин должна обеспечить Rapidus конкурентное преимущество перед TSMC и Samsung за счёт сокращения продолжительности производственного цикла.

 Источник изображения: Samsung

Источник изображения: Samsung

«Если стоимость одинаковая, если я могу обеспечить [время цикла] в два-три раза меньше, чем на обычном заводе, что предпочтёт заказчик? — рассуждает Койке. — Мы недостаточно быстры, чтобы перейти на 2 нм [раньше TSMC], но мы можем наверстать упущенное, потому что у нас есть высокоскоростная обратная связь, позволяющая быстро наращивать темпы».

По словам аналитика Albright Stonebridge Group Пола Триоло (Paul Triolo), предсказать успех амбициозного проекта сложно: «У фирмы компетентное руководство, мощная поддержка правительства Японии и уважаемые технологические партнёры, такие как Imec и IBM. Но клиенты должны быть уверены, что передовые технологические процессы, впервые разработанные командой Rapidus-IBM-Imec, способны обеспечить привлекательную производительность и стоимость на одном уровне с мировыми лидерами TSMC и Samsung».

Rapidus, в число инвесторов которой входят Sony, Denso, Toyota, SoftBank и Kioxia, потребуется больше внешних инвестиций, чтобы начать коммерческое производство. По оценкам Койке, его компании понадобится в общей сложности 5 триллионов йен (около 31,8 миллиарда долларов). При этом правительство Японии готово предоставить Rapidus субсидии на основе годовых результатов.

Компания планирует добавить в список своих партнёров больше разработчиков чипов ИИ, таких как Tenstorrent и Esperanto. Койке заявил, что несколько компаний Кремниевой долины заинтересованы в том, чтобы стать их клиентами, но названия компаний он сообщить отказался. Rapidus планирует производить чипы ИИ для маломощных периферийных вычислений, а также мощные чипы для высокопроизводительных вычислений в центрах обработки данных.

Rapidus объединяет процессы производства и упаковки чипов, чем традиционно занимаются отдельные компании. По словам Койке, инженеры этих производств «разделены большой стеной». «Никаких обсуждений. Они говорят на разных языках. Я убрал эту стену в своей компании, чтобы они могли говорить друг с другом», — добавил он. Объединение производства и упаковки теоретически может сократить время цикла. В настоящее время Rapidus разрабатывает методы тестирования признанных годных кристаллов (known good die, KGD) и впервые в полупроводниковой промышленности собирается перейти от проектирования процессов (process design kit, PDK) к проектированию сборки (assembly design kit, ADK).

Для строительства завода в городе Титосэ на севере японского острова Хоккайдо Rapidus заключила контракт с Kajima, одной из старейших и крупнейших строительных компаний Японии. По данным Rapidus, сейчас на возведении фабрики работает 2000–3000 сотрудников Kajima, а октябрю на этом проекте будет задействовано около 5000 человек.

 Источник изображения: Rapidus

Источник изображения: Rapidus

Сама компания Rapidus уже наняла более 400 инженеров и планирует ежегодно увеличивать штат ещё на 300 человек. В этом году компания отправит около 200 из них в США для освоения 2-нм технологии, разработанной IBM. Аналитики полагают, что компания столкнётся со значительными проблемами в привлечении персонала, учитывая спрос на квалифицированную рабочую силу в Японии и расширение производственных мощностей конкурентов, таких как TSMC, Western Digital, Micron и Kioxia.

Samsung будет выпускать для AMD передовые 3-нм чипы с GAA-транзисторами

Компания Samsung объявила о расширении стратегического партнерства с американской компанией Advanced Micro Devices (AMD) в области разработки передовой 3-нанометровой технологии производства чипов. Используя более тесные связи с AMD, Samsung стремится обогнать своего главного конкурента, контрактного производителя полупроводников TSMC.

 Источник изображения: Samsung

Источник изображения: Samsung

Это партнерство позволит компаниям объединить усилия в разработке инновационных решений для производства чипов следующего поколения, которые будут использоваться в высокопроизводительных вычислительных системах, центрах обработки данных, смартфонах и других электронных устройствах.

Как сообщает корейское новостное издание KED Globall, в рамках соглашения Samsung, крупнейший в мире производитель микросхем памяти, получит доступ к передовым разработкам AMD в области архитектуры чипов, а AMD, который в свою очередь разрабатывает микропроцессоры и графические процессоры, сможет воспользоваться мощностями Samsung по производству чипов с использованием новейшей 3-нм технологии транзисторов Gate-All-Around (GAA).

Данная технология позволяет создавать чипы с рекордной плотностью транзисторов и улучшенными характеристиками производительности. По сравнению с предыдущим поколением 5-нм чипов, 3-нм чипы GAA обеспечивают прирост производительности на 30 %, снижение энергопотребления на 50 % и уменьшение площади чипа на 45 %. В настоящее время Samsung является единственным производителем в мире, который уже запустил 3-нм техпроцесс GAA в коммерческое производство, опередив основного конкурента тайваньскую компанию TSMC.

Расширение сотрудничества с AMD позволит Samsung нарастить свою долю на рынке контрактного производства чипов и сократить отставание от TSMC. Известно, что в настоящее время Samsung контролирует около 17 % этого рынка, а TSMC — более 50 %. В дальнейшем Samsung планирует начать массовый выпуск 2-нм чипов на базе технологии GAA в 2025 году, что позволит ей упрочить лидерство в области полупроводниковых технологий.

TSMC рассказала, как будет улучшать 2-нм техпроцесс N2 — оптимизация питания в N2P и повышение скорости в N2X

Компания TSMC рассекретила планы совершенствования 2-нм техпроцесса N2, массовое производство по которому должно стартовать в 2025 году. Спустя год после этого будет внедрён оптимизированный по шине питания техпроцесс N2P, а ещё через некоторое время компания запустит техпроцесс N2X для решений с высшей производительностью. Развитие 2-нм техпроцесса TSMC будет стремительным, что может объясняться опасениями TSMC отстать от Intel и Samsung.

 Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Официально техпроцесс с нормами 2 нм тайваньский чипмейкер представил летом прошлого года. Производство полупроводников с этими технологическими нормами начнётся в 2025 году. Главной особенностью техпроцесса N2 станет переход с FinFET на транзисторы с круговым затвором (GAAFET). Это снизит токи утечки, позволит гибко регулировать производительность и оптимизирует потребление. Другой важной особенностью техпроцесса N2 должен был стать перенос линий питания чипа на другую сторону кристалла, что будет означать развязку шины данных и управления с питанием.

 Источник изображений: TSMC

Источник изображений: TSMC

Как теперь становится понятно, перенос линий питания ожидается в процессе внедрения техпроцесса N2P, что произойдёт в 2026 году. Из предыдущих заявлений компании первой реализации идеи можно было ожидать в 2025 году. Разнесение интерфейсов питания и данных по разные стороны кристалла решает множество проблем. Так, линии подвода питания к транзисторам станут короче, что снизит их сопротивление. Разнесение разводки уменьшит площадь кристаллов, львиную долю которой съедали линии передачи и межслойные контакты. Наконец, хотя это не всё, снизятся взаимные помехи, что скажется на стабильности сигнальных характеристик чипов.

Снижение площади кристалла, занятой контактами и разводкой, приведёт к значительному увеличению плотности транзисторов. Ранее TSMC заявляла, что переход от техпроцесса с нормами 3 нм к нормам 2 нм увеличит плотность транзисторов на 10 %. К настоящему моменту прогноз был улучшен до 15 % и, в случае внедрения техпроцесса N2P, плотность может вырасти на двухзначную величину, которую компания пока не конкретизирует. Закон Мура вздохнёт ещё раз перед своей смертью.

О техпроцессе N2X, который будет внедряться в 2026 году или позже, компания ничего не сообщила. Можно предположить, что это будет не слишком распространённое предложение, тогда как техпроцесс N2P обещает стать рабочей лошадкой компании на этапе 2-нм производства чипов.

Также компания сообщила о прогрессе в подготовке базового 2-нм техпроцесса. Производительность транзисторов GAAFET в составе опытного кремния доходит до 80 % от целевых значений. И это за два года до начала внедрения, что очень и очень хорошо. При этом уровень брака при производстве 2-нм ячеек SRAM объёмом 256 Мбит снизился до 50 % и менее.

 Источник изображения: Anandtech

Источник изображения: Anandtech

В целом техпроцесс с нормами 2 нм позволит TSMC повысить производительность транзисторов на 10–15 % при той же мощности и сложности, или снизить энергопотребление на 25–30 % при тех же тактовых частотах и количестве транзисторов. На бумаге TSMC отстаёт от компании Intel на год или два и успехи одной из компаний не дают покоя другой. Если каждая из них сдержит обещания, то чипы TSMC с транзисторами GAAFET появятся на два года позже аналогичных чипов Intel (20A), что также касается планов переноса линий питания на обратную сторону кристалла.

Эксперимент с модернизированной микроволновкой помог приблизить TSMC к освоению 2-нм производства чипов

Исследователи из Корнеллского инженерного колледжа смогли на основе обычной, слегка модернизированной микроволновой печи показать возможность создания полупроводниковых материалов для выпуска нового поколения чипов. Эксперимент проводился на обычной бытовой микроволновке по заказу компании TSMC. В дальнейшем этот тайваньский чипмейкер может внедрить предложенный техпроцесс при производстве 2-нм чипов.

 Источник изображения: Ryan Young/Cornell University

Источник изображения: Ryan Young/Cornell University

Для подготовки полупроводниковых материалов к производству по мере снижения масштаба технологических норм необходимо всё более сильное легирование кристаллического кремния. Но кремний не резиновый, и по мере насыщения сторонними добавками кристаллическая структура искажается до риска разрушения. При этом процесс легирования сопровождается отжигом — нагревом смесей до очень высоких температур, чтобы добавленное вещество, в частности фосфор, равномерно распределилось по кремнию.

С определённого момента в кремний нельзя привычным образом вместить ещё больше фосфора, что требуется для повышения электронной проводимости для более мелких масштабов. Обычное нагревание не позволяет создавать однородные смеси и говорить о стабильности таких полупроводников нельзя.

«Нам нужны концентрации фосфора, превышающие его равновесную растворимость в кремнии. Это противоречит природе, — сказал один из авторов исследования. — Кристалл кремния расширяется, вызывая огромную деформацию и делая его потенциально бесполезным для электроники».

В своё время специалисты TSMC предположили, что для активации избыточных легирующих элементов можно использовать микроволны, но, как и в бытовых микроволновых печах, которые иногда неравномерно нагревают пищу, предыдущие микроволновые печи для отжига создавали «стоячие волны», что мешало последовательной активации легирующих элементов. Поэтому TSMC в сотрудничестве с учёными Корнеллского инженерного колледжа модифицировали микроволновую печь, чтобы выборочно контролировать место возникновения стоячих волн. Такая точность позволяет правильно активировать легирующие элементы без чрезмерного нагрева или повреждения кремниевого кристалла.

Сделанное открытие может быть использовано для производства полупроводниковых материалов и электроники примерно к 2025 году, утверждают разработчики, которые также оформили два патента на изобретение.

«В настоящее время несколько производителей выпускают полупроводниковые материалы размером 3 нанометра, — заявляют авторы. — Этот новый микроволновый подход потенциально может позволить ведущим производителям, таким как TSMC и Samsung, снизить масштаб производства до 2 нанометров».


window-new
Soft
Hard
Тренды 🔥
Новая статья: Очная ставка: сравнительное тестирование диалоговых ИИ-моделей YandexGPT и GigaChat 5 ч.
Хет-трик: боевик Zenless Zone Zero от создателей Genshin Impact и Honkai: Star Rail вышел и уже заслужил похвалу критиков 5 ч.
ЦБ РФ призвал бизнес использовать криптовалюту для международных транзакций 6 ч.
Хоррор-стратегия Anoxia Station от автора Loretta отправит глубоко под землю управлять горнодобывающей станцией среди «невообразимых опасностей» 7 ч.
Разработчики RoboCop: Rogue City и Terminator: Resistance взялись за «очень интересную» игру в необычном для себя жанре 8 ч.
Хакеры добрались до данных Госдепа и других федеральных агентств США через взлом Microsoft 8 ч.
«Это должно было быть в игре с самого начала»: моддер нашёл элегантный способ улучшить гравипрыжки в Starfield 10 ч.
Почти половина российских компаний уже использует ИИ для разработки и тестирования ПО 10 ч.
Разовая акция: хакеры отдали ключи для дешифровки ЦОД властям Индонезии, но пригрозили карами, если их условия не будут выполнены 12 ч.
В WhatsApp появился ИИ-генератор персонализированных аватаров, но доступен он пока не всем 13 ч.