Сегодня 01 июля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → 3 нм
Быстрый переход

Samsung с трудом отлаживает 3-нм техпроцесс — уровень брака превышает 80 %

Компания Samsung с трудом наращивает объёмы выпуска мобильных процессоров Exynos 2500 на основе 3-нм техпроцесса, сообщают аналитики TrendForce со ссылкой на южнокорейское издание ZDNet. Всё дело в огромном проценте брака — к настоящему моменту производитель вышел на уровень годной продукции чуть ниже 20 %.

 Источник изображения: Samsung

Источник изображения: Samsung

В первом квартале этого года уровень выхода годных чипов составлял однозначное число процентов, так что Samsung постепенно улучшает техпроцесс. Но даже с учётом улучшения производства объёмы выхода небракованных чипов не дотягивает до перехода к массовому выпуску. Остается неясным, будут ли данные процессоры использоваться в составе смартфонов серии Galaxy S25 в будущем, но недавние слухи говорили о том, что будущие флагманы поголовно получат Snapdragon 8 Gen 4.

Для эффективного массового производства необходимо, чтобы уровень брака был ниже 40 %. Как сообщается, подразделение System LSI Samsung собирается продолжить работу над повышением качества производственного процесса годных чипов Exynos 2500 во второй половине этого года. Компания намерена выйти на выход годных чипов в 60 % к октябрю.

В том же отчёте южнокорейского издания говорится, что главный конкурент Samsung, тайваньский контрактный производитель чипов TSMC, полностью загружен заказами на производство 3-нм продукции для Apple, NVIDIA, AMD, Qualcomm, Intel и MediaTek. В конце мая TSMC сообщала, что утроила производственные мощности для выпуска 3-нм чипов, но этого по-прежнему недостаточно, чтобы покрыть все заказы, поэтому компания всё ещё прилагает усилия для удовлетворения спроса.

Японская Rapidus запустит пилотное производство 2-нм чипов уже в 2025 году

Японский стартап Rapidus планирует открыть пилотное производство 2-нм чипов в апреле 2025 года, сообщил генеральный директор Ацуёси Койке (Atsuyoshi Koike). В освоении передового техпроцесса компании помогает IBM и глобальная научно-исследовательская организация Imec. Инновационная технология обработки пластин должна обеспечить Rapidus конкурентное преимущество перед TSMC и Samsung за счёт сокращения продолжительности производственного цикла.

 Источник изображения: Samsung

Источник изображения: Samsung

«Если стоимость одинаковая, если я могу обеспечить [время цикла] в два-три раза меньше, чем на обычном заводе, что предпочтёт заказчик? — рассуждает Койке. — Мы недостаточно быстры, чтобы перейти на 2 нм [раньше TSMC], но мы можем наверстать упущенное, потому что у нас есть высокоскоростная обратная связь, позволяющая быстро наращивать темпы».

По словам аналитика Albright Stonebridge Group Пола Триоло (Paul Triolo), предсказать успех амбициозного проекта сложно: «У фирмы компетентное руководство, мощная поддержка правительства Японии и уважаемые технологические партнёры, такие как Imec и IBM. Но клиенты должны быть уверены, что передовые технологические процессы, впервые разработанные командой Rapidus-IBM-Imec, способны обеспечить привлекательную производительность и стоимость на одном уровне с мировыми лидерами TSMC и Samsung».

Rapidus, в число инвесторов которой входят Sony, Denso, Toyota, SoftBank и Kioxia, потребуется больше внешних инвестиций, чтобы начать коммерческое производство. По оценкам Койке, его компании понадобится в общей сложности 5 триллионов йен (около 31,8 миллиарда долларов). При этом правительство Японии готово предоставить Rapidus субсидии на основе годовых результатов.

Компания планирует добавить в список своих партнёров больше разработчиков чипов ИИ, таких как Tenstorrent и Esperanto. Койке заявил, что несколько компаний Кремниевой долины заинтересованы в том, чтобы стать их клиентами, но названия компаний он сообщить отказался. Rapidus планирует производить чипы ИИ для маломощных периферийных вычислений, а также мощные чипы для высокопроизводительных вычислений в центрах обработки данных.

Rapidus объединяет процессы производства и упаковки чипов, чем традиционно занимаются отдельные компании. По словам Койке, инженеры этих производств «разделены большой стеной». «Никаких обсуждений. Они говорят на разных языках. Я убрал эту стену в своей компании, чтобы они могли говорить друг с другом», — добавил он. Объединение производства и упаковки теоретически может сократить время цикла. В настоящее время Rapidus разрабатывает методы тестирования признанных годных кристаллов (known good die, KGD) и впервые в полупроводниковой промышленности собирается перейти от проектирования процессов (process design kit, PDK) к проектированию сборки (assembly design kit, ADK).

Для строительства завода в городе Титосэ на севере японского острова Хоккайдо Rapidus заключила контракт с Kajima, одной из старейших и крупнейших строительных компаний Японии. По данным Rapidus, сейчас на возведении фабрики работает 2000–3000 сотрудников Kajima, а октябрю на этом проекте будет задействовано около 5000 человек.

 Источник изображения: Rapidus

Источник изображения: Rapidus

Сама компания Rapidus уже наняла более 400 инженеров и планирует ежегодно увеличивать штат ещё на 300 человек. В этом году компания отправит около 200 из них в США для освоения 2-нм технологии, разработанной IBM. Аналитики полагают, что компания столкнётся со значительными проблемами в привлечении персонала, учитывая спрос на квалифицированную рабочую силу в Японии и расширение производственных мощностей конкурентов, таких как TSMC, Western Digital, Micron и Kioxia.

Samsung будет выпускать для AMD передовые 3-нм чипы с GAA-транзисторами

Компания Samsung объявила о расширении стратегического партнерства с американской компанией Advanced Micro Devices (AMD) в области разработки передовой 3-нанометровой технологии производства чипов. Используя более тесные связи с AMD, Samsung стремится обогнать своего главного конкурента, контрактного производителя полупроводников TSMC.

 Источник изображения: Samsung

Источник изображения: Samsung

Это партнерство позволит компаниям объединить усилия в разработке инновационных решений для производства чипов следующего поколения, которые будут использоваться в высокопроизводительных вычислительных системах, центрах обработки данных, смартфонах и других электронных устройствах.

Как сообщает корейское новостное издание KED Globall, в рамках соглашения Samsung, крупнейший в мире производитель микросхем памяти, получит доступ к передовым разработкам AMD в области архитектуры чипов, а AMD, который в свою очередь разрабатывает микропроцессоры и графические процессоры, сможет воспользоваться мощностями Samsung по производству чипов с использованием новейшей 3-нм технологии транзисторов Gate-All-Around (GAA).

Данная технология позволяет создавать чипы с рекордной плотностью транзисторов и улучшенными характеристиками производительности. По сравнению с предыдущим поколением 5-нм чипов, 3-нм чипы GAA обеспечивают прирост производительности на 30 %, снижение энергопотребления на 50 % и уменьшение площади чипа на 45 %. В настоящее время Samsung является единственным производителем в мире, который уже запустил 3-нм техпроцесс GAA в коммерческое производство, опередив основного конкурента тайваньскую компанию TSMC.

Расширение сотрудничества с AMD позволит Samsung нарастить свою долю на рынке контрактного производства чипов и сократить отставание от TSMC. Известно, что в настоящее время Samsung контролирует около 17 % этого рынка, а TSMC — более 50 %. В дальнейшем Samsung планирует начать массовый выпуск 2-нм чипов на базе технологии GAA в 2025 году, что позволит ей упрочить лидерство в области полупроводниковых технологий.

Apple представила 3-нм процессор M4 «с самым мощным NPU» в мире

В рамках мероприятия Let Loose компания Apple представила новый мобильный процессор M4, который послужит основой её продуктов нового поколения. Дебютировал он в составе новых флагманских планшетов iPad Pro с OLED-экраном.

 Источник изображений: Apple

Источник изображений: Apple

Процессор Apple M4 с более чем 28 млрд транзисторов производится с использованием 3-нм техпроцесса TSMC второго поколения. В его состав входят 10 вычислительных ядер CPU (4 производительных и 6 энергоэффективных) и 10 графических ядер GPU на новой архитектуре с поддержкой динамической кеш-памяти, а также технологий сетчатого затенения и аппаратным ускорением трассировки лучей.

Компания заявляет, что вычислительная производительность нового чипа до 50 % выше, а графическая до четырёх раз выше, чем у процессора M2. По словам Apple, новый процессор M4 также на 50 % энергоэффективное процессора M2. В рамках презентации также было заявлено, что M4 обеспечивает на фоне конкурентов такую же производительность, но при этом потребляет всего четверть от используемого ими питания. Никаких сравнительных графиков компания не привела.

В составе Apple M4 также имеется новый 16-ядерный ИИ-движок (NPU) с производительностью 38 TOPS (триллионов операций в секунду). Он примерно в 60 раз быстрее NPU в составе процессора Apple A11 Bionic. Apple заявляет, что новый NPU в составе M4 быстрее любого другого NPU, который применяется в составе так называемых AI PC от конкурентов.

В составе чипа также используется новый интерфейс дисплея с поддержкой частоты обновления до 120 Гц и технологии экрана Tandem OLED, которая применяется в новейшем планшете iPad Pro. Для Apple M4 заявляется пропускная способность унифицированной памяти на уровне 120 Гбайт/с.

Развитие под санкциями: китайская SMIC разрабатывает технологии выпуска 3-нм чипов без EUV

Несмотря на отсутствие доступа к оборудованию для выпуска чипов с литографией в экстремальном ультрафиолете (EUV) из-за санкций, китайская компания SMIC продолжает разработку 5-нм и 3-нм техпроцессов производства чипов. Ранее SMIC удалось наладить серийное производство 7-нм микросхем, опираясь исключительно на литографию в глубоком ультрафиолете (DUV), что само по себе не является невозможным — техпроцесс TSMC N7P также не использует EUV.

 Источник изображения: SMIC

Источник изображения: SMIC

В отчёте Nikkei утверждается, что сразу после запуска 7-нм техпроцесса 2-го поколения, SMIC создала исследовательскую группу для работы над 5-нм и 3-нм техпроцессами. Команду возглавляет ранее работавший в TSMC и Samsung содиректор SMIC Лян Монг-Сонг (Liang Mong-Song). «Нет более умного учёного или инженера, чем этот парень, — так охарактеризовал его Дик Терстон (Dick Thurston), бывший главный юрисконсульт TSMC. — Он действительно один из самых блестящих умов, которых я видел в области полупроводников».

SMIC прошла долгий путь от небольшой полупроводниковой фабрики до пятого по величине контрактного производителя микросхем в мире. На фоне растущей напряжённости между США и Китаем компания была включена в санкционный список Министерства торговли США и потеряла доступ к передовым инструментам для обработки кремниевых пластин, что серьёзно замедлило её развитие и внедрение новых технологических процессов.

На данный момент литографические машины ASML Twinscan NXT:2000i являются лучшими инструментами, которыми располагает SMIC — они могут производить травление с разрешением до 38 нм. Этот уровень точности обеспечивает экспонирование с шагом 38 нм с использованием двойной фотомаски, чего достаточно для производства чипов класса 7 нм. Согласно исследованиям ASML и IMEC, при 5 нм шаг металла уменьшается до 30-32 нм, а при 3 нм — до 21-24 нм, что уже требует применения EUV.

 Источник изображения: ASML

Источник изображения: ASML

Но использование инструментов литографии со сверхвысоким разрешением (13 нм для EUV с низкой числовой апертурой) — не единственный путь к достижению сверхмалых размеров транзисторов. Другой вариант предусматривает нанесение нескольких последовательных масок, но это сложный процесс, который увеличивает продолжительность производственного цикла, снижает процент выхода годных изделий, увеличивает износ оборудования и повышает затраты. Однако без доступа к EUV-литографии у SMIC просто нет другого выбора, кроме как использовать тройное, четверное или даже пятикратное паттернирование.

Терстон считает, что под руководством Лян Монг-Сонга SMIC сможет производить (если уже не производит) 5-нм чипы в больших количествах без использования инструментов EUV. Однако сегодняшний отчёт Nikkei впервые сообщает о возможной способности SMIC разработать в обозримом будущем 3-нм производственный процесс на оборудовании класса DUV.

Intel впервые за 13 лет изменит транзисторы в чипах — компания показала RibbonFET и схему их обратного питания

На конференции Innovation 2023 глава компании Intel Патрик Гелсингер (Patrick Gelsinger) показал кремниевую пластину с процессорами Arrow Lake, выполненными по техпроцессу 20A (20 ангстрем или 2 нм). Эти чипы появятся в 2024 году и станут первыми за 13 лет носителями новой архитектуры транзисторов. На мероприятии глава Intel раскрыл кое-какие детали будущих архитектур, что можно считать официальным подтверждением появившихся ранее утечек.

 Источник изображения: Intel

Источник изображения: Intel

Значительным событием стало подтверждение планов Intel начать выпуск 2-нм процессоров в 2024 году — раньше, чем это сделают компании TSMC и Samsung, до этого показавшие значительный технологический отрыв от микропроцессорного гиганта. Компания Intel поставила перед собой цель освоить за четыре года выпуск процессоров на пяти новых технологических узлах и, похоже, строго следует этому плану. Более того, по ряду технологических новшеств Intel собирается оказаться впереди как Samsung, так и TSMC.

 Пластина с чипами Arrow Lake

Пластина с чипами Arrow Lake

В частности, компания Intel первой переведёт линии питания элементов процессоров на заднюю часть подложки. Сигнальные линии останутся на прежнем месте, а питание будет подаваться с обратной стороны непосредственно на транзисторы. Произойдёт это, начиная с транзисторов чипов Arrow Lake, которые компания уже выпускает в виде инженерных образцов.

Разделение питания и сигнальных линий даст много преимуществ, хотя также будет сопряжено с технологическими трудностями. Разгрузка объёма пластины со стороны сигнального интерфейса позволит упростить разводку и повысить скорость работы сигнального интерфейса за счёт уменьшения длин соединений и, соответственно, снижения их сопротивления току. Такое же упрощение разводки питания (с обратной стороны) и даже увеличение сечения проводников питания позволит уменьшить переходные процессы и даже откроет путь к увеличению плотности размещения транзисторов. Компания TSMC, например, планирует внедрить похожую технологию не раньше 2026 года или на два года позже Intel.

 Доставка питания с «чёрного хода»

Доставка питания сзади (справа на изображении). Слева — актуальный подход, когда сигнал и питание подаются в одном слое

Но определённо революционным новшеством в процессорах Arrow Lake станут новые транзисторы RibbonFET Gate-All-Around (GAA) с каналами, полностью окружёнными затворами. Это будут первые с 2011 года новые транзисторы в процессорах Intel после начала производства транзисторов FinFET с вертикальными каналами (рёбрами), окружёнными затворами только с трёх сторон. Подобные транзисторы в собственной интерпретации (SF3E) уже выпускает компания Samsung, но она не готова сделать их массовыми. Компания Intel, похоже, готова организовать производство GAA-транзисторов на массовой основе.

Архитектурно GAA-транзисторы Intel похожи на такие же транзисторы Samsung. Они точно также представлены расположенными друг над другом каналами в виде тонких нанолистов (наностраниц), окружённых затворами со всех сторон. В составе транзистора Intel использует четыре канала. По словам Intel, такая конструкция обеспечивает более быстрое переключение транзисторов при использовании управляющего тока аналогичного по силе току для FinFET. При этом GAA-транзистор занимает на подложке заметно меньше места, чем FinFET.

Компания TSMC рассчитывает внедрить в производство собственную архитектуру GAA в 2025 году или на год позже Intel. В этом формально Samsung опередила своих конкурентов, но в плане массовости производства самых передовых решений она пока ничем похвастаться не может.

Apple сэкономила миллиарды долларов на 3-нм процессорах благодаря эксклюзивной сделке с TSMC

Компания TSMC вышла на массовое производство 3-нм чипов с уровнем выхода годной продукции выше 70 %. И обычно клиенты оплачивают все пластины и чипы, включая бракованные. Однако на эксклюзивных условиях сотрудничества компания Apple платит тайваньскому контрактному производителю микросхем только за годные кристаллы, сообщает портал AppleInsider, ссылающийся на отчёт издания The Information.

 Источник изображения: TSMC

Источник изображения: TSMC

По словам операционного директора Apple Джеффа Уильямса (Jeff Williams), Apple и TSMC связывают долгие и насыщенные отношения. Как пишет The Information, эти отношения по-настоящему уникальны и выделяются как на фоне сотрудничества TSMC с другими своими клиентами, так и на фоне отношений прочих производителей чипов со своими покупателями.

В частности, TSMC не взимает с Apple полную стоимость пластин с использованием 3-нм техпроцесса, в которых содержатся сотни кристаллов будущих процессоров. Тайваньский контрактный производитель берёт с Apple плату только за годные кристаллы. Обычно разница в цене не является статистически значимой, потому что со временем TSMC так или иначе выходит на уровень выпуска 99 % годных кристаллов на кремниевой пластине. Однако в настоящий момент уровень пригодных к использованию 3-нм кристаллов составляет около 70–80 %. Поскольку Apple не платит TSMC за негодные кристаллы, это позволяет ей значительно экономить. Речь идёт о миллиардах долларов.

Apple выкупила практически все мощности TSMC для выпуска 3-нм изделий ещё несколько лет назад. The Information утверждает, что TSMC способна вести разработку новых технологических процессов в частности благодаря тому, что Apple готова заранее и в больших объёмах оплачивать производственные мощности для выпуска новых передовых чипов. Отмечается, что как только TSMC снизит уровень производственного брака кристаллов и повысит объём выпуска годных чипов, она сможет предоставлять свои услуги по производству 3-нм процессоров и другим компаниям, но уже без таких выгодных условий.

Предполагается, что использование 3-нм процессоров в составе будущих смартфонах iPhone 15 до 35 % увеличит показатель их энергоэффективности по сравнению с актуальными моделями iPhone 14, сообщает AppleInsider.

Один из первых 3-нм чипов производства Samsung обнаружился в ASIC-майнере

Хотя Samsung начала массовое производство чипов на базе техпроцесса SF3E (3 нм, транзисторы GAA) примерно год назад, к настоящему моменту мало кто из производителей электроники подтвердил его использование в своих продуктах. Недавно аналитики TechInsights выяснили, что одним из первых заказчиков 3-нм чипов у Samsung стала компания MicroBT, выпускающая ASIC-майнеры. Выполненный по технологии SF3E чип применяется в её криптомайнере Whatsminer M56S++.

 Источник изображения: Arm

Источник изображения: Arm

Специализированные интегральные схемы ASIC для добычи криптовалюты представляют собой небольшие чипы с относительно малым количеством входящих в их состав транзисторов и повторяющимися логическими структурами, похожими на простые битовые ячейки памяти SRAM. В целом за счёт простоты производства таких чипов это делает их весьма подходящей платформой для тестирования передовых технологически процессов. Поэтому совсем неудивительно, что техпроцесс SF3E нашёл своё первое практическое применение именно в среде криптомайнинга.

К сожалению, в открытом доступе о ASIC-майнере Whatsminer M56S++ не так много информации. Известно лишь, что система компании MictoBT на основе этого чипа обеспечивает хешрейт на уровне 240–256 Тхеш/с и обладает энергоэффективностью 22 джоуля на терахэш.

 Источник изображения: Samsung

Источник изображения: Samsung

На данный момент неизвестно, используется ли 3-нм технология SF3E компании Samsung в каких-либо других коммерческих решениях помимо оборудования для майнига. Однако сама Samsung заявляет, что «использует этот техпроцесс в своих продуктах».

«Мы массово производим чипы на основе первого поколения 3-нм техпроцесса со стабильным уровнем выхода годных микросхем. С учётом этого опыта мы уже ведём разработку второго поколения техпроцесса, который обеспечит ещё более высокий выход годных чипов», — цитирует портал Tom’s Hardware одно из недавних заявлений компании.

По сравнению с техпроцессами 5-нм класса Samsung второго поколения (SF5, 5LPP), SF3E обещает снижение энергопотребления микросхем до 45 % при сохранении той же частоты работы, либо повышение производительности до 23 % при сохранении количества транзисторов и мощности. Кроме того, чипы с использованием SF3E занимают на 16 % меньшую площадь.

Intel представила недорогой и энергоэффективный 16-нм техпроцесс для широкого спектра чипов

Контрактный производитель полупроводников Intel Foundry Services (IFS) представил новый технологический процесс 16-нм класса, получивший название Intel 16. Он предназначен для производства чипов для мобильных устройств, RF-модулей, компонентов IoT, бытовой техники, устройств для хранения данных, а также для использования в военной и аэрокосмических отраслях. Новая технология дополняет 22-нм техпроцесс Intel FFL и считается недорогим техпроцессом на основе FinFET.

 Источник изображения: Intel

Источник изображения: Intel

Как указывается в пресс-релизе компаний Synopsys, Cadence Digital и Ansys, являющихся ведущими поставщиками средств автоматизации проектирования электроники, новый техпроцесс Intel 16 разработан специально под широкий круг изделий от разных заказчиков. Технология класса 16 нм Intel предлагает более высокую плотность транзисторов, более высокую производительность и энергоэффективность по сравнению с планарными техпроцессами, которые применяются сегодня для перечисленных выше полупроводниковых изделий, а также обещает упросить процесс проектирования и производства полупроводниковых компонентов.

Сотни различных видов электронных микросхем по-прежнему производятся с применением зрелых технологических узлов. Сюда можно отнести однопрограммные процессоры, различные контроллеры и аналоговые устройства, чипы для бытовой техники и радиоустройств. Использование зрелых узлов обходится дешевле, проще и сопровождается меньшим количеством брака. Хотя для передовых вычислений вроде ИИ используются большие и мощные чипы вроде AMD Instinct MI300 и NVIDIA H100, которые выпускаются по самым передовым техпроцессам, но всё равно эти сферы не обходятся без более компактных и простых чипов, обеспечивающие меньший уровень производительности, но при этом обладающие значительно более высокими показателями энергоэффективности. Исходя из этого производители чипов по-прежнему предлагают своим клиентам продукты на основе недорогих зрелых технологических процессов, в которых применяются транзисторы FinFET. Например, та же компания TSMC в этом случае предлагает использовать её техпроцесс N12e.

Компании Ansys, Cadence и Synopsys сообщили, что уже внедрили поддержку технологического процесса Intel 16 в своих программных продуктах для автоматизации проектирования микросхем. Та же Cadence, например, адаптировала применение Intel 16 для проектирования компонентов PCIe 5.0, своего мультипротокольного решения 25G PHY, мультипротокольных решений для потребительских продуктов с поддержкой стандартов PCIe 3.0 и USB 3.2, для различных компонентов памяти LPDDR5/4/4X, а также интерфейсов MIPI D-PHY v1.2 для камер и цифровых дисплеев. В свою очередь Synopsys предлагает поддержку Intel 16 в составе набора инструментов Synopsys.ai с поддержкой ИИ для более быстрого внедрения чипов.

Разработчики полупроводниковых микросхем, не имеющие собственных производств, уже могут начать использовать инструменты проектирования, моделирования и проверки своих решений на основе техпроцесса Intel 16.

TSMC ускорила разработку 2-нм техпроцесса — тестовое производство хотят запустить в этом году

Тайваньский контрактный производитель микросхем TSMC ускорил разработку 2-нм технологического процесса из-за высокого потенциального спроса на эту продукцию со стороны таких компаний, как NVIDIA и Apple. Об этом сообщает тайваньское издание Economic Daily.

 Источник изображения: TSMC

Источник изображения: TSMC

По словам издания, тайваньская компания уже приступила к подготовке к старту мелкосерийного производства 2-нм чипов, а массовое производство микросхем этого класса должно начаться к 2025 году.

Со ссылкой на источники Economic Daily сообщает, что в рамках подготовки к тестовому производству микросхем класса 2-нм TSMC передислоцировала инженеров и поддерживающий персонал в центр разработки, расположенный в городском округе Баошань. В рамках тестового производства до конца этого года планируется выпуск 1000 кремниевых пластин, говорится в сообщении одного из источников, на 2024 год компания запланировала ещё один пробный выпуск пластин, а старт массового производства чипов согласно нормам 2 нм должен начаться в 2025 году. Для массового производства 2-нм микросхем компания расширит мощности на своей фабрике в Баошани, а также задействует новый завод в Тайчжуне, что на западе Тайваня.

Для TSMC важно начать тестовое производство 2-нм чипов как можно скорее, так как на этой фазе производства не исключено возникновение технических сложностей, для решения которых потребуется время. Это будет первое поколение чипов компании, в которых будут применяться транзисторы GAA с круговым затвором.

По данным источников тайваньского издания, разработка 2-нм техпроцесса TSMC пока идёт хорошо. Отмечается, что конкуренция среди клиентов компании за использование нового техпроцесса стала более интенсивной, что может говорить о высоком уровне инвестиций в разработку и развитие индивидуальных решений. По словам тех же источников, TSMC активно использует в разработке нового техпроцесса алгоритмы искусственного интеллекта, за счёт которых производитель пытается повысить энергоэффективность новых чипов и, вероятно, снизить воздействие их производства на окружающую среду. Тайваньская компания использует ИИ-платформу AutoDMP от NVIDIA, которая позволяет 30-кратно ускорить процессы оптимизации проектирования кристаллов по сравнению с предыдущими методами и технологиями. Средство проектирования чипов от NVIDIA призвано сделать производство дешевле, а сами чипы — производительнее и энергоэффективнее.

Samsung значительно снизила уровень брака при выпуске 4-нм чипов и этим привлекла AMD и Google

Техпроцесс компании Samsung с нормами 4 нм долго страдал от высокого уровня брака, пока в минувшие недели не был достигнут значительный прогресс. Представители Samsung сообщили об этом лично в местных социальных сетях, что случается крайне редко. Заявлено, что «следующее поколение 4-нм техпроцесса обеспечит более высокий выход годной продукции» и это привлекло именитых клиентов — компании AMD и Google.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Как нам известно, до конца текущего полугодия Samsung начнёт массовый выпуск микросхем на основе третьего поколения 4-нм техпроцесса. Это произойдёт примерно спустя два года после первой заявки о начале выпуска 4-нм решений тогда ещё первого поколения. В этом компания Samsung не сильно отстала от своего конкурента — тайваньской TSMC, но в чём она явно проиграла — это в степени готовности нового техпроцесса к массовому производству.

Утверждалось, что ко второму году производства с нормами 4 нм уровень выхода годных микросхем на линиях Samsung приближался к 60 %, тогда как на аналогичных по масштабам линиях TSMC этот показатель достигал 70 % и даже 80 % (для зрелого производства этот показатель достигает 92–95 %). Уровень выхода годной продукции на новых 4-нм линиях Samsung будет приближаться к уровню TSMC и к уровню выхода годных 5-нм чипов Samsung, что означает уверенное преодоление планки 70 % и более.

Ранее компания Samsung потеряла ряд крупных заказов от Tesla, Qualcomm и других компаний, поскольку выход 4-нм микросхем с каждой пластины заметно уступал показателям TSMC. Достижение новых уровней качества продукции позволили южнокорейскому чипмейкеру вернуть часть из них. Например, сообщается о новых договорах на контрактное производство чипов для компаний AMD и Google.

TSMC рассказала, как будет улучшать 2-нм техпроцесс N2 — оптимизация питания в N2P и повышение скорости в N2X

Компания TSMC рассекретила планы совершенствования 2-нм техпроцесса N2, массовое производство по которому должно стартовать в 2025 году. Спустя год после этого будет внедрён оптимизированный по шине питания техпроцесс N2P, а ещё через некоторое время компания запустит техпроцесс N2X для решений с высшей производительностью. Развитие 2-нм техпроцесса TSMC будет стремительным, что может объясняться опасениями TSMC отстать от Intel и Samsung.

 Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Официально техпроцесс с нормами 2 нм тайваньский чипмейкер представил летом прошлого года. Производство полупроводников с этими технологическими нормами начнётся в 2025 году. Главной особенностью техпроцесса N2 станет переход с FinFET на транзисторы с круговым затвором (GAAFET). Это снизит токи утечки, позволит гибко регулировать производительность и оптимизирует потребление. Другой важной особенностью техпроцесса N2 должен был стать перенос линий питания чипа на другую сторону кристалла, что будет означать развязку шины данных и управления с питанием.

 Источник изображений: TSMC

Источник изображений: TSMC

Как теперь становится понятно, перенос линий питания ожидается в процессе внедрения техпроцесса N2P, что произойдёт в 2026 году. Из предыдущих заявлений компании первой реализации идеи можно было ожидать в 2025 году. Разнесение интерфейсов питания и данных по разные стороны кристалла решает множество проблем. Так, линии подвода питания к транзисторам станут короче, что снизит их сопротивление. Разнесение разводки уменьшит площадь кристаллов, львиную долю которой съедали линии передачи и межслойные контакты. Наконец, хотя это не всё, снизятся взаимные помехи, что скажется на стабильности сигнальных характеристик чипов.

Снижение площади кристалла, занятой контактами и разводкой, приведёт к значительному увеличению плотности транзисторов. Ранее TSMC заявляла, что переход от техпроцесса с нормами 3 нм к нормам 2 нм увеличит плотность транзисторов на 10 %. К настоящему моменту прогноз был улучшен до 15 % и, в случае внедрения техпроцесса N2P, плотность может вырасти на двухзначную величину, которую компания пока не конкретизирует. Закон Мура вздохнёт ещё раз перед своей смертью.

О техпроцессе N2X, который будет внедряться в 2026 году или позже, компания ничего не сообщила. Можно предположить, что это будет не слишком распространённое предложение, тогда как техпроцесс N2P обещает стать рабочей лошадкой компании на этапе 2-нм производства чипов.

Также компания сообщила о прогрессе в подготовке базового 2-нм техпроцесса. Производительность транзисторов GAAFET в составе опытного кремния доходит до 80 % от целевых значений. И это за два года до начала внедрения, что очень и очень хорошо. При этом уровень брака при производстве 2-нм ячеек SRAM объёмом 256 Мбит снизился до 50 % и менее.

 Источник изображения: Anandtech

Источник изображения: Anandtech

В целом техпроцесс с нормами 2 нм позволит TSMC повысить производительность транзисторов на 10–15 % при той же мощности и сложности, или снизить энергопотребление на 25–30 % при тех же тактовых частотах и количестве транзисторов. На бумаге TSMC отстаёт от компании Intel на год или два и успехи одной из компаний не дают покоя другой. Если каждая из них сдержит обещания, то чипы TSMC с транзисторами GAAFET появятся на два года позже аналогичных чипов Intel (20A), что также касается планов переноса линий питания на обратную сторону кристалла.

Samsung сокращает выпуск чипов старых поколений для расширения 3-нм производства

По последним данным, компания Samsung столкнулась с трудностями при организации производства чипов в соответствии с передовым 3-нм технологическим процессом. Причина не в отсутствии технологических возможностей, но, как оказалось, в нехватке квалифицированных специалистов для ведения разработок. В результате компании приходится сворачивать старые производства для использования человеческих ресурсов на новых.

 Источник изображения: Samsung

Источник изображения: Samsung

Как сообщает Sammobile со ссылкой на южнокорейские СМИ, компания уже перевела часть сотрудников, задействованных в выпуске чипов в соответствии со «зрелыми» техпроцессами, на выпуск 3-нм и даже более передовой продукции. Судя по всему, в компании наблюдается острая нехватка специалистов для поддержки всех техпроцессов, в результате, кадры перенаправляют на современное производство с 130-мм и 65-мм заводских линий.

Конечно, подобная реорганизация довольно дорого обойдётся Samsung. По последним данным, компания более не принимает заказы на чипсеты, основанные на 130-нм и 65-нм технологиях, от корейских малых и средних разработчиков чипов.

Хорошей новостью для Samsung является то, что компания — не единственный из производителей полупроводников, столкнувшихся с подобной проблемой. Известно, что нанять больше квалифицированных сотрудников стремятся полупроводниковые компании из Китая, США и с Тайваня.

TSMC довольно долго осваивала 3-нм производство, не исключено, что именно по причине нехватки кадров. Samsung начала поставки 3-нм чипов в прошлом году, но первая партия оказалась очень небольшого объёма. Первые 3-нм чипы Samsung поставила китайской компании, связанной с майнингом криптовалют.

Известно, что новейший флагман компании Galaxy S23 использует только 4-нм чипсет Snapdragon 8 Gen 2, оптимизированный для Galaxy, причём выпускает его тайваньский производитель TSMC.

Samsung представила первую в мире 12-нм память DDR5 — она быстрее, экономичнее и компактнее прежней

Компания Samsung Electronics сообщила о разработке первой в отрасли памяти DDR5 DRAM 12-нм класса. Новая память поможет в развитии вычислительных систем следующего поколения, центров обработки данных и приложений искусственного интеллекта. Всё это гарантирует наилучшая в отрасли производительность новой памяти, возросшая энергоэффективность, а также уменьшенный размер кристалла, что повысит выход чипов с каждой пластины.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Чип 12-нм памяти DDR5 представляет собой 16-гигабитную микросхему. Скорость обмена данными по каждому контакту составляет 7,2 Гбит/с. С такой скоростью обработка чипом двух 4K-фильмов объёмом 30 Гбайт займёт всего одну секунду. При этом потребление 12-нм памяти снижено на 23 % по сравнению с памятью предыдущего поколения, что станет очень заметным на типично огромных массивах памяти для приложений ИИ, машинного обучения и обработки больших данных.

Что принципиально, уменьшение техпроцесса производства памяти и, соответственно, уменьшение физических размеров каждой ячейки памяти не привело к наращиванию ошибок чтения и записи. Помог в этом новый материал с высокой диэлектрической проницаемостью (high-κ), который предотвращает утечки заряда из ячейки. Также Samsung говорит об использовании некой запатентованной технологии проектирования, которая улучшает характеристики критических цепей.

В целом компания готовится к выпуску памяти DDR5 с самой высокой в отрасли плотностью записи. Поэтому без использования сканеров EUV дело не обошлось. «Глубокий ультрафиолет» наконец-то далеко шагнул в отрасль производства компьютерной памяти. Благодаря этому с каждой кремниевой пластины Samsung сможет получать на 20 % больше микросхем, чем раньше. Массовое производство 12-нм чипов DDR5 компания начнёт в 2023 году. Совместимость с процессорами AMD уже проверена, что подтвердили ответственные лица компании.

«Инновации часто требуют тесного сотрудничества с отраслевыми партнерами, чтобы расширить границы технологий, — сказал Джо Макри (Joe Macri), старший вице-президент, корпоративный научный сотрудник и технический директор AMD по вычислениям и графике. — Мы очень рады вновь сотрудничать с Samsung, особенно при выпуске продуктов памяти DDR5, которые оптимизированы и проверены на платформах "Zen"».

Китайская Zhaoxin представила 16-нм 4-ядерник Kaixian KX-6000G и первый ноутбук на нём

Китайская компания Zhaoxin вместе с серверными процессорами серии Kaisheng KH-40000 представила потребительский четырёхъядерный x86-совместимый 64-битный процессор Kaixian KX-6000G для ноутбуков, моноблочных ПК, встраиваемых систем и терминалов. Производитель указывает, что чип выпускается с применением 16-нм техпроцесса производства.

 Источник изображения: Zhaoxin

Источник изображения: Zhaoxin

Kaixian KX-6000G будет доступен в двух конфигурациях. Одна работает в диапазоне частот от 2,0 до 3,0 ГГц и имеет заявленный показатель энергопотребления 15 Вт. Для второй версии заявлен динамический TDP 25–35 Вт, а также диапазон частот от 2,6 ГГц (3,0 ГГц при TDP 35 Вт) до 3,3 ГГц. Для обеих версий процессора заявляется поддержка инструкций SSE 4.2 и AVX.

Контроллер памяти Kaixian KX-6000G поддерживает до 64 Гбайт двухканальной ОЗУ стандарта DDR4-3200. Также указывается поддержка 16 линий PCIe 3.0, четырёх разъёмов USB 3.2 Gen1, двух USB 2.0, а также двух портов SATA III. Kaixian KX-6000G оснащён встроенным графическим ядром C-1080. Для него реализована поддержка DirectX 12, OpenCL 1.2, OpenGL 4.6, 4K-дисплеев.

В Zhaoxin отмечают, что по сравнению с изделиями предыдущего поколения, производительность графической подсистемы Kaixian KX-6000G увеличена в четыре раза, а показатель энергоэффективности процессора вырос на 60 %. Кроме того, на 50 % снижен показатель энергопотребления чипа в состоянии бездействия.

 Источник изображения: Haier

Источник изображения: Haier

Вместе с Kaixian KX-6000G производитель анонсировал один из первых продуктов на его основе — ноутбук Boyue G43 от компании Haier. Лэптоп получил 14-дюймовый дисплей с поддержкой разрешения 1920 × 1200 пикселей и соотношением сторон 16:10. В основе устройства используется 35-ваттный вариант четырёхъядерного процессора Kaixian KX-6000G с базовой частотой 3,0 ГГц и максимальной на уровне 3,3 ГГц. Для новинки заявляется 8 Гбайт оперативной памяти DDR4 и твердотельный NVMe-накопитель объёмом 512 Гбайт. В оснащение ноутбука вошли один разъём USB 3.1, два USB 2.0, два USB 3.1 Gen1 (Type-C) и один выход HDMI. Кроме того, указывается поддержка беспроводных стандартов Wi-Fi 6 и Bluetooth 5.0.


window-new
Soft
Hard
Тренды 🔥
Видео: целых 42 минуты геймплея научно-фантастического философского выживания The Alters 6 ч.
Гарнитура Apple Vision Pro всё же получит поддержку ИИ Apple Intelligence, но не скоро 7 ч.
Apple уже разрабатывает iOS 19 под кодовым именем Luck 8 ч.
Авторы Delta Force: Hawk Ops пригласили игроков на закрытый альфа-тест — для запуска тактического шутера сгодится даже GTX 960 9 ч.
Amazon переманила сотрудников Adept AI Labs для усиления ИИ-подразделения 9 ч.
Новая статья: «Бессмертный. Сказки Старой Руси» — былинные картишки. Предварительный обзор 30-06 00:01
ChatGPT превзошёл студентов на экзаменах, но только на первых курсах 29-06 23:57
Новая статья: Gamesblender № 680: наследие Arkane в Alkahest, непреклонная Elden Ring и новый геймпад для Steam 29-06 23:39
Глава ИИ-подразделения Microsoft считает законным обучение ИИ на любом контенте, находящемся в открытом доступе 29-06 12:51
Qualcomm упростит производителям смартфонов выпуск обновлений Android 29-06 12:48