Сегодня 19 сентября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → intel foundry

Intel объявила о выделении производства чипов в независимую компанию и других шагах по выходу из кризиса

Компания Intel объявила о стратегических изменениях, направленных на укрепление своего финансового положения и технологического потенциала, включая выделение бизнеса по производству чипов в самостоятельную компанию и сделку с Amazon по производству ИИ-чипов. Компания нацелена на сокращение расходов и пересмотр инвестиций в производство, а также повышении эффективности капитальных вложений.

Intel объявила о масштабной реструктуризации бизнеса, которая затронет практически все аспекты деятельности компании, начиная от производства и заканчивая продуктовым портфелем. Генеральный директор Intel Пэт Гелсингер (Pat Gelsinger) в своём обращении к сотрудникам 16 сентября 2024 года подчеркнул, что целью преобразований является повышение эффективности, оптимизация расходов и усиление фокуса на ключевых направлениях, таких как разработка x86-совместимых процессоров и бизнес по производству чипов (Intel Foundry). «Мы должны действовать быстро, чтобы создать более конкурентоспособную структуру затрат и достичь целевого показателя экономии в $10 млрд», — заявил Гелсингер.

Одним из ключевых шагов станет превращение Intel Foundry в независимую дочернюю компанию внутри Intel. «Такая структура обеспечит нашим клиентам и поставщикам Foundry большую прозрачность и независимость от остального Intel, — пояснил Гелсингер. — Это также позволит Intel Foundry активнее привлекать внешнее финансирование и оптимизировать свою капитальную структуру для ускорения роста ».

Компания также пересматривает свои инвестиции в производство, стремясь к большей эффективности капитальных вложений, в том числе приостанавливает проекты по строительству новых фабрик в Польше и Германии на два года, ориентируясь на текущий рыночный спрос. Что касается запуска производственного центра в Малайзии, то он будет достроен, но его ввод в эксплуатацию будет синхронизирован с рыночными условиями и загрузкой существующих мощностей. При этом Intel подтверждает свою приверженность инвестициям в производство в США и продолжает реализацию проектов в Аризоне, Орегоне, Нью-Мексико и Огайо. Вместе с тем, компания намерена сместить акцент с агрессивного наращивания производственных мощностей на более гибкое и эффективное планирование, соответствующее темпам развития технологий.

 Источник изображения: Intel

Источник изображения: Intel

Одновременно с этим объявлено о расширении стратегического партнёрства с Amazon Web Services (AWS). AWS выбрала Intel Foundry для производства нового ИИ-чипа на базе передовой технологии Intel 18A, а также специализированного процессора Xeon 6 на базе Intel 3.

В рамках реструктуризации Intel также оптимизирует свой портфель продуктов, стремясь к большей интеграции и фокусировке на ключевых направлениях. «Наш главный приоритет — максимизировать ценность франшизы x86 на рынках клиентских устройств, периферийных вычислений и центров обработки данных, — подчеркнул генеральный директор. — Компания продолжит инвестировать в развитие ИИ-технологий, включая лидерство в категории ПК с искусственным интеллектом и укрепление позиций в центрах обработки данных». При этом для повышения эффективности ряд подразделений будут реорганизованы. В частности, Edge и Automotive будут объединены с CCG, а Integrated Photonics Solutions перейдёт в DCAI.

Гелсингер в своём обращении также отметил, что для достижения намеченного целевого показателя экономии в $10 млрд Intel продолжит сокращать расходы. Для этого уже предприняты определённые действия: сокращено по программе добровольного увольнения более половины штата сотрудников (примерно 15 000), планируется отказаться от примерно двух третей недвижимости по всему миру к концу года, а также продать часть доли в Altera для получения дополнительных средств. «Нам предстоит принять ещё ряд сложных решений, — признал Гелсингер. — Но все эти меры направлены на то, чтобы превратить Intel в более гибкую, простую и эффективную систему, способную успешно конкурировать на рынке и обеспечивать долгосрочный рост».

Стоит отметить, что недавно Intel получила около $3 млрд прямого финансирования в рамках федерального «Закона о чипах и науке» (CHIPS and Science Act) подписанного президентом Джо Байденом (Joe Biden) США в 2022 году.

Intel назначила Наги Чандрасекарана новым руководителем контрактного производства чипов

Компания Intel объявила о назначении нового главы подразделения контрактного производства чипов — им стал Нага Чандрасекаран (Naga Chandrasekaran), который пришел в Intel из Micron, где занимал должность старшего вице-президента по развитию технологий. Теперь он будет напрямую подчиняться генеральному директору Intel Пэту Гелсингеру (Pat Gelsinger).

 Источник изображения: Intel

Источник изображения: Intel

Чандрасекаран назначен на должность директора по глобальным операциям, исполнительного вице-президента и генерального менеджера подразделения контрактного производства чипов Intel Foundry Manufacturing and Supply Chain. На этом посту он сменит Кейвана Эсфарджани (Keyvan Esfarjani), который решил покинуть Intel после почти 30 лет службы. В Intel отмечают, что выдающаяся карьера Эсфарджани заложила прочную основу для Intel Foundry, а его лидерство в обеспечении устойчивости глобальной цепочки поставок и передовой опыт производства помогли компании обеспечить долгосрочный успех. Он останется в Intel до конца текущего года, чтобы обеспечить плавный переход Чандрасекарана на новую должность.

Чандрасекаран присоединится к Intel 12 августа. Он будет отвечать за производственные операции Intel Foundry по всему миру, включая фабрично-сортировочное производство, сборочно-испытательное производство, стратегическое планирование Intel Foundry, корпоративное обеспечение качества и цепочки поставок.

«Нага — высококвалифицированный руководитель, чей глубокий опыт в области производства полупроводников и разработки технологий станет огромным дополнением к нашей команде», — прокомментировал назначение Гелсингер. «Поскольку мы продолжаем строить глобально устойчивую цепочку поставок полупроводников и создаём первую в мире экосистему фабрик для эпохи искусственного интеллекта, лидерство Наги поможет нам ускорить наш прогресс и извлечь выгоду из значительных возможностей долгосрочного роста в будущем», — добавил глава Intel.

В течение более 20 лет службы в Micron Чандрасекаран занимал различные руководящие должности. Совсем недавно он руководил глобальными и инженерными разработками Micron, связанными с масштабированием современных технологий памяти и передовых технологий упаковки, а также инновационными технологическими решениями. Ранее он занимал должность старшего вице-президента Micron по исследованиям, разработкам и операциям. Он имеет опыт в производстве полупроводников, а также в разработке и исследованиях технологических процессов и оборудования, технологиях устройств, технологиях масок и многих других направлениях.

Чандрасекаран получил степень бакалавра машиностроения в Мадрасском университете (Индия), степень магистра и доктора машиностроения Университета штата Оклахома (США), степень магистра в области информатики и обработки данных Калифорнийского университета в Беркли (США), а также дипломы MBA для руководителей Калифорнийского университета в Лос-Анджелесе (Высшая школа менеджмента при Калифорнийском университете в Лос-Анджелесе) и Национального университета Сингапура.

Направление Intel Foundry включает в себя разработку технологий производства чипов (техпроцессов), глобальное производство, обслуживание клиентов (в том числе и саму Intel) и работу экосистемы компании. Оно объединяет все критически важные компоненты, необходимые клиентам, не имеющим собственных производственных мощностей, для разработки и производства чипов.

Доктор Чандрасекаран будет тесно сотрудничать с другими руководителями подразделения Intel Foundry: доктором Энн Келлехер (Ann Kelleher), исполнительным вице-президентом и генеральным директором подразделения Foundry Technology Development; Кевином О'Бакли (Kevin O'Buckley), старшим вице-президентом и генеральным менеджером Foundry Services, а также Лоренцо Флоресом (Lorenzo Flores), финансовым директором Intel Foundry.

Intel раскрыла подробности о четырёх разновидностях техпроцесса Intel 3 — +18 % к производительности на ватт и не только

На страницах корпоративного блога Intel на этой неделе появилась публикация со встроенной презентацией, которую производственное подразделение компании подготовило к мероприятию VLSI Symposium. Среди весьма специфичной информации, которая представляет интерес для узких специалистов, было рассказано о тех преимуществах, которые принесут различные версии техпроцесса Intel 3.

 Источник изображений: Intel

Источник изображений: Intel

С докладом на эту тему выступил вице-президент Intel по разработке технологий для контрактного подразделения Валид Хафез (Walid Hafez), отметивший, что освоение техпроцессов семейства Intel 3 является для компанией важным этапом реализации плана 5N4Y, подразумевающего внедрение пяти новых техпроцессов за четыре года, начиная с 2021 года.

Ещё в конце прошлого года первая версия техпроцесса Intel 3 достигла стадии готовности к серийному производству, а в настоящее время он используется для выпуска продукции на экспериментальной линии в штате Орегон, а также на предприятии Intel в Ирландии. С помощью техпроцесса Intel 3 компания будет изготавливать не только компоненты собственных серверных процессоров Xeon 6 семейства Sierra Forest, но и чипы по заказам сторонних клиентов.

Внутри семейства Intel 3 предусмотрено сразу четыре варианта техпроцесса, которые найдут применение на разных этапах для производства компонентов различного назначения. Intel 3-T от базового Intel 3 будет отличаться применением межслойных соединений, позволяющих использовать сложную пространственную компоновку чипов, включая и варианты с размещением микросхем памяти поверх кристалла с вычислительными ядрами. Техпроцессы Intel 3 и Intel 3-T будут использоваться для производства компонентов в серверном и потребительском сегментах, а также изготовления подложек многокристальных чипов. По сравнению с техпроцессом Intel 4, они обеспечат улучшение соотношения производительности и энергопотребления на 18 % на уровне всего процессорного ядра, а также повышение плотности размещения транзисторов на 10 %.

Техпроцесс Intel 3-E обеспечит дополнительные возможности по интеграции с разнородными интерфейсами, включая аналоговые и смешанные. Он будет применяться для выпуска чипсетов и компонентов систем хранения данных. Наконец, разновидность Intel 3-PT объединит преимущества трёх предыдущих в рамках одних технологических норм. Шаг межслойных соединений удастся уменьшить до 9 мкм, а для объединения разнородных кристаллов в одной упаковке будут использоваться гибридные методы. Это позволит дополнительно увеличить плотность компоновки чипов в одной трёхмерной упаковке. Как и все техпроцессы этого семейства, Intel 3-PT будет использовать FinFET-структуру транзисторов. С его помощью будут изготавливаться как процессоры общего назначения, так и чипы для ускорителей вычислений с самой сложной структурой и высокой производительностью. Средства разработки, совместимые с техпроцессами семейства Intel 3, подразумевают использование библиотек с нормами 240 нм, ориентированных на создание высокопроизводительных чипов, а также библиотек с нормами проектирования 210 нм, используемыми для повышения плотности размещения транзисторов.

В рамках техпроцесса Intel 20A позже компания собирается внедрить новую структуру транзисторов RibbonFET и технологию подвода питания с обратной стороны кремниевой пластины PowerVia. Об этих нововведениях Intel подробно расскажет отдельно в будущем.

Intel будет выпускать передовые 65-нм силовые полупроводники для Tower Semiconductor

Контрактный производитель чипов Intel Foundry Services (IFS) и ведущий производитель аналоговых полупроводников Tower Semiconductor объявили о соглашении, согласно которому Intel предоставит Tower свои мощности для производства чипов на 300-мм пластинах. Tower получит доступ к современному предприятию Intel в Нью-Мексико и инвестирует до $300 млн в оборудование и другие основные средства, получив мощности, способные на экспонирование более 600 000 фотомасок в месяц.

 Источник изображения: Intel

Источник изображения: Intel

Это соглашение демонстрирует стремление IFS и Tower расширить сотрудничество за счёт свежих бизнес-решений и масштабируемых возможностей. На Intel Fab 11X в Рио-Ранчо, Нью-Мексико будут производиться 65-нанометровые микросхемы управления питанием по технологии BCD (биполярные КМОП/ДМОП).

Генеральный менеджер IFS Стюарт Панн (Stuart Pann) заявил: «Мы запустили Intel Foundry Services с долгосрочной целью создать первую в мире полупроводниковую фабрику с открытой системой, которая объединит в безопасную, устойчивую и отказоустойчивую цепочку поставок всё лучшее от экосистемы Intel. Мы очень рады, что Tower видит уникальную ценность, которую мы предоставляем, и выбрала нас своим партнёром в США».

Генеральный директор Tower Рассел Элвангер (Russell Ellwanger) солидарен со своим коллегой: «Мы рады продолжить сотрудничество с Intel которое позволяет нам удовлетворять потребности наших клиентов, уделяя особое внимание усовершенствованным решениям в области управления питанием и устройств по высокочастотной технологии кремний-на-изоляторе (RF SOI), полномасштабный запуск технологического процесса запланирован на 2024 год. Мы рассматриваем это как первый шаг к множеству уникальных синергетических решений с Intel».

Это соглашение показывает, как IFS обеспечивает доступ к производственным мощностям глобальной производственной сети Intel, расположенной в США, Европе, Израиле и Азии. Помимо существующих инвестиций в Орегоне и запланированных инвестиций в Огайо, Intel уже более 40 лет инвестирует и внедряет инновации в юго-западном регионе США, с офисами в Аризоне и Нью-Мексико. Ранее Intel объявила об инвестициях в размере $3,5 млрд в расширение операций в Нью-Мексико и оснащение своего инновационного кампуса в Рио-Ранчо для запуска инновационных технологий упаковки полупроводников.

Для Tower это следующий шаг на пути к увеличению масштабов обслуживания расширяющейся клиентской базы. 65-нм технология BCD компании Tower предлагает клиентам повышенную энергоэффективность, а также уменьшенные размеры и стоимость кристалла благодаря лучшему в своём классе показателю RDSon (сопротивление сток — исток). Аналогичным образом, технология RF SOI компании Tower, использующая 65-нм техпроцесс, помогает снизить расход заряда батареи мобильных телефонов и улучшить беспроводные соединения благодаря лидирующему в своём классе показателю RonCoff (соотношение потерь радиосигнала).

IFS является важнейшим элементом стратегии Intel IDM 2.0, и сегодняшнее партнёрство представляет собой ещё один шаг вперёд в многолетней трансформации Intel, направленной на восстановление и укрепление технологического лидерства, масштабов производства и долгосрочного роста, говорится в пресс-релизе Intel. IFS добилась значительных успехов за последний год, о чём свидетельствует рост выручки более чем на 300 % во втором квартале 2023 года по сравнению с аналогичным периодом прошлого года.

Хорошим примером успешности новой стратегии Intel также служит недавнее соглашение с Synopsys о разработке портфеля интеллектуальной собственности на техпроцессы Intel 3 и Intel 18A. Кроме того, Intel стала победителем программы Rapid Assured Microelectronics Prototypes - Commercial (RAMP-C) Министерства обороны США.

Intel будет сотрудничать с Arm для выпуска мобильных чипов ангстремного класса по заказам разных компаний

Intel Foundry Services (IFS) сообщила о сотрудничестве с компанией по разработке процессорных архитектур Arm. Это даст возможность разработчикам полупроводников создавать однокристальные платформы (SoC) с низким энергопотреблением на основе передовых техпроцессов Intel. Сначала компании сосредоточатся на разработке мобильных SoC, а затем займутся чипами для автомобилей, Интернета вещей, ЦОД, аэрокосмических и государственных приложений.

 Источник изображения: Intel

Источник изображения: Intel

Благодаря сотрудничеству, разработчики чипов на решениях Arm смогут использовать продвинутый техпроцесс Intel 18A для производства своей продукции. Это позволит проектировать микросхемы с использованием программного обеспечения для автоматизации электронного проектирования (EDA) от сторонних поставщиков. В результате можно ожидать появления более мощных и энергоэффективных процессоров.

IFS и Arm будут совместно работать над совершенствованием технологий, в рамках которого проектирование микросхем и процесс производства оптимизируются для повышения мощности, производительности и снижения стоимости ядер на базе Arm с использованием техпроцесса Intel 18A. Данный техпроцесс использует две новые технологии — PowerVia для оптимальной подачи питания и архитектуру транзисторов RibbonFET с окружающим затвором, что обеспечивает оптимальную производительность и мощность.

 Источник изображения: Arm

Источник изображения: Arm

В рамках этого сотрудничества IFS и Arm планируют разработать чип эталонного дизайна для демонстрации технологии клиентам. Сделка является ещё одной важной вехой для IFS после её партнёрства с тайваньской компанией по производству полупроводников MediaTek, о котором было объявлено в июле прошлого года. Qualcomm также является клиентом IFS, а NVIDIA рассматривает такую возможность. Бизнес по производству микросхем для других компаний на основе их собственных разработок был запущен Intel в 2021 году.

 Источник изображения: Intel

Источник изображения: Intel

Генеральный директор Intel Пэт Гелсингер (Pat Gelsinger) констатировал, что до сих пор большинство разработчиков чипов были ограничены в использовании передовых технологий Arm. «Сотрудничество Intel с Arm откроет новые подходы для любой компании, не имеющей производственных мощностей, которая хочет получить доступ к лучшим в своём классе процессорам и возможностям открытой системной фабрики с передовыми технологическими процессами», — заключил он.


window-new
Soft
Hard
Тренды 🔥
Microsoft: массового развёртывания Windows 11 24H2 в октябре не будет 29 мин.
Google вводит кроссплатформенную синхронизацию ключей доступа с помощью PIN-кодов 40 мин.
«Кинопоиск» анонсировал экранизацию Atomic Heart — первые подробности 47 мин.
«Надеемся обратить ваше ожидание в восторг»: режиссёр Lies of P рассказал, чего ждать от дополнения и сиквела 2 ч.
В России тестируют отечественную замену Центру сертификации Microsoft для банков 3 ч.
Кооперативный хоррор No More Room in Hell 2 получил дату выхода в раннем доступе Steam — это продолжение культового зомби-мода для Half-Life 2 4 ч.
Отечественное ПО стало дороже иностранного, но уступает по качеству, заметили во ФСТЭК 5 ч.
«Не думаем, что Hi-Fi Rush 2 нас обогатит»: Krafton спасла Tango Gameworks от закрытия не ради денег 5 ч.
PayPal ввёл для россиян комиссию за неактивные счета — 3500 рублей в год 6 ч.
Биткоин подскочил до $63 тыс. после решения ФРС США о снижении ставки на 0,5 % 6 ч.
Учёные вдохновились кальмарами и создали магнитный дисплей, которому вообще не нужна электроника 2 ч.
У Volkswagen ID.4 на ходу могут внезапно открыться двери — выпуск и продажи электромобилей остановлены, запущен отзыв 2 ч.
Ноутбуки с Intel Lunar Lake действительно долго работают от батареи — Lenovo Yoga продержался почти 24 часа 2 ч.
Планшеты Huawei MatePad Pro 12.2 и MatePad 12 X вышли на глобальный рынок по цене от €569 3 ч.
Sony представила PS5 и PS5 Pro в стиле первой PlayStation по случаю её 30-летия 4 ч.
HPE представила компактный edge-сервер ProLiant DL145 Gen11 на базе AMD EPYC Siena 4 ч.
DJI представила экшн-камеру Osmo Action 5 Pro — 40 Мп, рекордный динамический диапазон и скорость до 960 fps 4 ч.
Intel заявила, что не собирается продавать контрольный пакет Mobileye 6 ч.
Российский электромобиль «Атом» будет узнавать водителя по лицу с помощью ИИ 6 ч.
Новая статья: Обзор умных часов HUAWEI Watch D2: когда умеешь справляться с давлением 6 ч.