Сегодня 29 сентября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → tsmc
Быстрый переход

ОАЭ готовы потратить $100 млрд на строительство предприятий Samsung или TSMC на своей территории

Ранее уже появлялись слухи о стремлении главы OpenAI организовать производство чипов в странах Ближнего Востока для более эффективного решения проблемы дефицита ускорителей вычислений. Теперь The Wall Street Journal уточняет, что переговоры с властями ОАЭ уже ведут компании Samsung Electronics и TSMC. Совокупная стоимость предприятий, которые могут быть построены в этой стране, может достичь $100 млрд.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Высшее руководство TSMC, как отмечается, даже посетило ОАЭ для переговоров на эту тему. Тайваньская компания рассматривает возможность строительства в ОАЭ современного производственного комплекса, сопоставимого по величине с теми, которые есть на Тайване. Южнокорейская Samsung Electronics тоже направила в ОАЭ своих делегатов для аналогичных переговоров. Власти ОАЭ готовы через подконтрольные им инвестиционные компании субсидировать строительство предприятий по выпуску чипов, чтобы удержать уровень прибыли TSMC или Samsung на приемлемом уровне. Зарубежные предприятия обошлись бы обеим компаниям дороже в строительстве, поэтому эту разницу необходимо покрывать субсидиями, чтобы нивелировать разницу в расходах.

Другой проблемой является доступ к запасам технической воды высокой степени очистки. ОАЭ основную часть воды получает из моря методом опреснения, но производство чипов требует использования очень чистой воды, и её добыча тоже обойдётся в копеечку при запуске производства чипов в ОАЭ. Зато с дешёвыми энергоресурсами проблем не будет, поскольку климатические условия позволяют добывать много электроэнергии через солнечные панели, а углеводородное топливо в регионе имеется в избытке.

По слухам, интересы правительства ОАЭ в вероятных проектах с TSMC и Samsung будет представлять дочерняя компания той же Mubadala, которая является основным инвестором компании GlobalFoundries, основанной в 2009 году после отделения производственных активов от AMD. На первых порах Mubadala вынашивала планы по строительству предприятия GlobalFoundries в ОАЭ, но им не суждено было сбыться. Позже арабские инвесторы буквально «опустили руки» перед необходимостью тратить существенные суммы на освоение 7-нм технологии, и GlobalFoundries пришлось отказаться от её запуска в серийном производстве. Так или иначе, Mubadala управляет портфелем активов на общую сумму $300 млрд, поэтому частично профинансировать строительство комплекса предприятий стоимостью $100 млрд при наличии политической воли она бы смогла.

Проект мог бы натолкнуться и на нехватку квалифицированных кадров для организации передового производства чипов на территории ОАЭ, но на примере американского предприятия TSMC в Аризоне уже понятно, что компания может завозить нужных специалистов с Тайваня, пока не будут подготовлены местные.

Другой момент, который неизбежно возникает в свете контроля США за экспортом технологий на Ближний Восток, касается получения соответствующих экспортных лицензий компаниями TSMC и Samsung в случае готовности приступить к оснащению своих предприятий в ОАЭ технологическим оборудованием американского происхождения. Представители Совета по национальной безопасности США заявили WSJ, что они на протяжении двух последних лет работают с властями ОАЭ в части передовых технологий, и партнёрство развивается в нужном направлении. Источники отмечают, что без благословения властей США компании TSMC и Samsung не смогут начать строительство предприятий в ОАЭ, поскольку американское правительство опасается утечки передовых технологий и продукции в Китай.

Процессор iPhone 17 не перейдёт на 2-нм техпроцесс — новые нормы внедрят лишь для iPhone 18 Pro

Телефоны серии iPhone 17 в следующем году получат процессоры, изготовленные TSMC с использованием усовершенствованной технологии N3P, а ожидаемые в 2026 году старшие модели iPhone 18 Pro получат чипы, вероятно, основанные на технологии нового поколения 2 нм. Ограничение их присутствия связано с проблемами со стоимостью, сообщает аналитик Мин-Чи Куо (Ming-Chi Kuo).

 Источник изображения: apple.com

Источник изображения: apple.com

С прошлого года процессоры Apple для iPhone и Mac изготавливаются по технологии 3 нм — речь идёт о чипах A17 Pro в моделях iPhone 15 Pro и M3 для Mac; до этого процессоры Apple производились по технологии 5 нм. В этом году iPhone 16 получили процессоры A18 на основе технологии 3 нм второго поколения — они быстрее и эффективнее, чем A16 Bionic в базовых моделях iPhone 15.

TSMC планирует начать производство 2-нм чипов в конце 2025 года, и Apple, как ожидается, станет первым клиентом, который получит процессоры, изготовленные с применением нового техпроцесса. Тайваньский подрядчик уже строит два новых завода для размещения производства 2-нм процессоров и ожидает разрешения на строительство третьего — компания обычно строит новые предприятия, когда ей нужно увеличить производственные мощности для работы с крупными заказами, и в преддверии запуска новых техпроцессов TSMC значительно расширяется.

TSMC вкладывает миллиарды в эту новую технологию полупроводникового производства, а Apple предстоит соответствующим образом адаптировать проекты своих чипов. Будучи крупнейшим клиентом тайваньского подрядчика, американская компания традиционно получает приоритетный доступ к новейшим технологиям. Так, в 2023 году она выкупила все 3-нм мощности TSMC для своих iPhone, iPad и Mac. Это партнёрство помогает Apple внедрять передовые технологии раньше конкурентов. Между запусками поколений 3 и 2 нм TSMC развёртывает несколько промежуточных решений: компания уже наладила выпуск полупроводников по технологиям N3E и N3P, которые являются усовершенствованием базового решения 3 нм. В разработке значатся N3X для сегмента высокопроизводительных вычислений и N3AE для автопрома.

Процессоры Apple A16 начали выпускать в США — вероятно, их используют в новом iPhone SE

На заводе Fab 21 тайваньской компании TSMC в Аризоне (США) начали производить чипы Apple A16, дебютировавшие в смартфоне iPhone 14 Pro два года назад, пишет MacRumors со ссылкой на независимого тайваньского журналиста Тима Калпана (Tim Culpan). По словам Калпана, для изготовления чипов A16 в Аризоне используется тот же 4-нм процесс N4P, что и на тайваньских заводах TSMC, чтобы обеспечить постоянство качества и производительности.

 Источник изображения: TSMC

Источник изображения: TSMC

Сейчас чипы производится в «небольших, но значимых количествах», но их выпуск значительно возрастёт после завершения первого этапа строительства завода, а полномасштабное производство запланировано на первую половину 2025 года. Как утверждают источники Калпана, выход годной продукции A16 на заводе TSMC может в ближайшие месяцы приблизиться к паритету с показателями, достигнутыми на её заводах Тайване.

Ресурс MacRumors отметил, что выбор завода Fab 21 в Аризоне для выпуска чипа A16 говорит о доверии Apple к новому производству, поскольку компания вполне могла для начала выбрать для выпуска здесь менее передовой компонент.

Пока неясно, в каких устройствах Apple будут использоваться чипы A16, произведённые в Аризоне. Вполне возможно, что ими будут оснащать будущие планшеты iPad, хотя, скорее всего, они найдут применение в следующем поколении iPhone SE с учётом того, что ‌iPhone SE‌ 4 будет основан на ‌iPhone 14‌.

Samsung столкнулась с высоким браком при освоении 2-нм техпроцесса на новой фабрике в Техасе

Южнокорейская компания Samsung Electronics довольно быстро построила новое предприятие в техасском Тейлоре, но вводить его в строй не торопилась, поэтому сроки запуска производства сместились с конца 2024 года на 2026 год. Здесь должен быть освоен выпуск чипов по технологиям тоньше 4 нм, но проблемы с освоением 2-нм техпроцесса проявились уже сейчас.

 Источник изображения: GlobalFoundries

Источник изображения: GlobalFoundries

По крайней мере, об этом сообщает издание Business Korea со ссылкой на собственные источники. Южнокорейская компания даже была вынуждена отозвать из Техаса персонал, который занимался подготовкой к началу опытного производства 2-нм продукции. По имеющейся информации, у Samsung возникли проблемы с низким уровнем выхода годных изделий в рамках собственного 2-нм техпроцесса. Этот критерий показывает, какая часть находящихся на кремниевой пластине кристаллов проходит итоговый контроль качества. Корейские источники сообщают, что сейчас он не превышает 10–20 %, и это не позволяет рассчитывать на экономическую целесообразность выпуска 2-нм продукции в подобных условиях.

Попытки исправить ситуацию предпринимались руководством Samsung на самом высоком уровне, и консультации с поставщиками оборудования типа ASML и Zeiss возглавлял сам председатель совета директоров Ли Джэ Ён (Lee Jay-yong), чей дед был основателем компании. Эти попытки успехом не увенчались, поэтому Samsung будет вынуждена отозвать специалистов из США, которые готовились запустить 2-нм техпроцесс на предприятии в Техасе. О судьбе производства чипов по менее сложному 4-нм техпроцессу на этой площадке ничего не сообщается. Летом этого года было принято решение об отказе от освоения 4-нм технологии в Техасе в этом году с целью более позднего выпуска уже 2-нм чипов.

Между тем, именно от промежуточных успехов на этом пути будет зависеть выделение субсидий властями США, которые изначально пообещали Samsung до $6,4 млрд в виде финансовой поддержки. Если Samsung не проявит свою технологическую состоятельность, власти США могут не выделить ей необходимую сумму. Как заявляют источники, в среднем по контрактному бизнесу Samsung уровень выхода годной продукции не превышает 50 %, тогда как у конкурирующей TSMC он лежит в пределах от 60 до 70 % даже в самых тяжёлых случаях. Этот разрыв влияет и на себестоимость продукции, и на доверие клиентов. Во втором квартале TSMC контролировала 62,3 % мирового рынка контрактных услуг по выпуску чипов, тогда как Samsung довольствовалась от силы 11,5 %.

Опрошенные Business Korea эксперты считают, что конкурентоспособность Samsung подорвана медлительной бюрократической структурой, которая не позволяет быстро выделять необходимые объёмы средств на исследования и разработки. Отсутствие инвестиций в перспективные технологии подрывает материальное положение компании в долгосрочном периоде, а также её рыночные позиции.

TSMC начнёт устанавливать первый литографический сканер High-NA EUV до конца месяца

TSMC удалось опередить Intel в запуске массового производства на EUV-оборудовании, но в более продвинутом сегменте High-NA EUV компания отстала от своего американского конкурента. Intel уже пользуется машиной High-NA EUV от ASML для проведения исследований и разработки, а TSMC начнёт установку первого такого сканера лишь в этом месяце, узнали DigiTimes и United Daily News.

 Источник изображения: asml.com

Источник изображения: asml.com

Первая установка со сверхжёстким ультрафиолетовым излучением и высокой числовой апертурой (High-NA EUV) ASML Twinscan EXE:5000, построенная специально для применения в целях исследования и разработки, будет устанавливаться в центре TSMC в тайваньском Синьчжу. В сентябре крупнейший в мире полупроводниковый подрядчик начнёт получать компоненты машины, после чего несколько месяцев потребуются на сборку и калибровку оборудования, прежде чем на нём станет тестироваться технология производства полупроводников нового поколения.

Перспективные технологические процессы TSMC N2 (класс 2 нм) и A16 (класс 1,6 нм) будут работать исключительно на EUV-оборудовании с низкой числовой апертурой 0,33. Сканер High-NA EUV (числовая апертура 0,55) будет использоваться для техпроцесса A14 (класс 1,4 нм) ориентировочно в 2028 году, хотя официальных заявлений компании по этому поводу пока не последовало. Но его внедрение вызовет дополнительные сложности как у производителей, так и у разработчиков, поэтому TSMC не спешит с развёртыванием таких сканеров.

Ещё один аргумент не в пользу машин нового поколения — их цена, которая, как заявил ответственный за разработку новых технологических процессов в TSMC Кевин Чжан (Kevin Zhang), понравилась ему меньше, чем производительность. Каждая машина High-NA стоит около $400 млн, хотя президенту компании Си-Си Вэю (C.C. Wei) и удалось договориться о скидке в размере почти 20 % при покупке нескольких единиц оборудования сразу. Учитывая, что TSMC является ведущим производителем, использующим EUV-литографию, и в её распоряжении находятся 65 % мировых EUV-мощностей ASML, нидерландский производитель, конечно, склонен идти на сделки с заводом, который является одним из его крупнейших клиентов.

Августовская выручка TSMC выросла на треть по сравнению с прошлым годом

Крупнейший контрактный производитель чипов, тайваньская компания TSMC, только сейчас подвела финансовые итоги августа, и они позволяют говорить, что месячная выручка выросла в годовом сравнении на 33 % до $7,8 млрд, хотя и сократилась на 2,4 % в последовательном сравнении. В какой-то степени подобная динамика позволяет развеять опасения инвесторов, которые боялись снижения спроса на компоненты для систем ИИ.

 Источник изображения: TSMC

Источник изображения: TSMC

Если учитывать весь период с начала года по август включительно, то TSMC смогла увеличить в текущем году выручку на 30,8 % до $55 млрд. На квартальном отчётном мероприятии в июле руководство компании заявило, что по итогам третьего квартала рассчитывает увеличить выручку на 37 % в годовом сравнении. При этом в июле она выросла на солидные 45 %, в августе темпы роста снизились до 33 %, но это всё равно позволяет компании рассчитывать на «перевыполнение плана» по итогам всего третьего квартала, от которого остался только сентябрь. Тем более, что в этом месяце крупный клиент в лице Apple представит свои новые изделия, которые нужно выпускать в больших количествах перед началом продаж.

Аналитики Bernstein ожидают, что если сентябрь этого года продемонстрирует финансовые результаты в соответствии с сезонными тенденциями предыдущих восьми лет, то выручка третьего квартала в целом может оказаться выше ожиданий самой TSMC примерно на 5–6 процентов. Более половины всей выручки TSMC сейчас получает от реализации компонентов для высокопроизводительных вычислений, к которым относятся и ускорители для систем искусственного интеллекта. В июле руководство компании заявило, что по итогам всего текущего года выручка TSMC может вырасти более чем на 25 %.

TSMC получила пробную партию 4-нм чипов на многострадальном заводе в Аризоне, и они даже работают

Ещё в апреле строящееся в Аризоне предприятие TSMC начало опытный выпуск 4-нм продукции, но до сих пор официальных сведений о степени прогресса в этой сфере не поступало. Инициативу взяло на себя агентство Bloomberg, которое со ссылкой на осведомлённые источники заявило о достижении американским предприятием TSMC сопоставимых показателей выхода годной продукции с похожими тайваньскими предприятиями.

 Источник изображения: TSMC

Источник изображения: TSMC

Представители TSMC на запрос Bloomberg ответили общей фразой о том, что проект в Аризоне реализуется в соответствии с ранее намеченным планом и демонстрирует хороший прогресс. Уровень выхода годной продукции — важнейший в литографическом производстве показатель качества, определяющий экономическую эффективность производства. Он определяет, какая часть чипов, получаемых с одной кремниевой пластины, пригодна для дальнейшего использования и не содержит дефектов.

Инвесторы ориентируются на способность компании TSMC поддерживать норму прибыли на уровне 53 % или выше в долгосрочном периоде, на протяжении последних четырёх лет этот показатель не опускался ниже 36 %. Реализация проекта TSMC в Аризоне, который подразумевает строительство трёх предприятий по контрактному выпуску чипов, на первых порах столкнулась с задержками из-за нехватки квалифицированной рабочей силы и различий в корпоративной культуре Тайваня и США. Первое предприятие в Аризоне уже должно было приступить к массовому производству продукции в текущем году, но теперь это произойдёт не ранее следующего года. Власти США выделили компании $6,6 млрд субсидий и $5 млрд в виде льготных кредитов на реализацию проекта по строительству трёх предприятий в Аризоне, а общие затраты производителя в итоге могут достичь $65 млрд.

Samsung объединит усилия с TSMC при выпуске памяти типа HBM4

Samsung Electronics располагает собственными мощностями по выпуску логических элементов памяти HBM, но в рамках производства HBM4 она решила объединить усилия с TSMC, являющейся крупнейшим контрактным производителем полупроводниковых компонентов. Так Samsung рассчитывает увеличить охват рынка при реализации HBM4.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Многие игроки отрасли настаивают, что на рынке HBM в дальнейшем усилится тенденция к адаптации микросхем памяти данного типа к потребностям конкретных клиентов. Осознавая это, Samsung собирается скооперироваться с TSMC в части выпуска логических компонентов для микросхем HBM4, а также расширения возможностей по интеграции памяти с компонентами сторонних заказчиков, которые пользуются услугами TSMC. В контрактном сегменте TSMC и Samsung являются прямыми конкурентами, но это не помешало южнокорейскому гиганту согласиться на сотрудничество.

В начале месяца, как отмечает Business Korea, представители Samsung объявили о подготовке более 20 микросхем HBM, адаптированных к потребностям конкретных заказчиков. А вчера представители TSMC на отраслевой конференции на Тайване признались, что компания ведёт разработку безбуферной памяти HBM4 в сотрудничестве с Samsung. Буфер у микросхем HBM традиционно отвечает за более стабильное электропитание, но отказ от него позволяет улучшить энергетическую эффективность на 40 % и снизить задержки при передаче информации на 10 %. Samsung намеревается перейти на безбуферную компоновку при выпуске HBM4 с конца 2025 года. При этом компания будет часть микросхем HBM4 производить самостоятельно, но одновременно сотрудничать в данной сфере с TSMC.

ИИ будет главным двигателем полупроводниковой отрасли в ближайшие несколько лет

По мере роста котировок акций многих компаний, так или иначе связанных со сферой искусственного интеллекта, растёт и некоторый скептицизм в среде инвесторов, которые считают, что высокие вложения в эту область экономики не смогут себя оправдать в сжатые сроки. Один из поставщиков TSMC выражает уверенность, что многолетний цикл роста в полупроводниковой отрасли сейчас находится в самом начале.

 Источник изображения: TSMC

Источник изображения: TSMC

В интервью телеканалу Bloomberg Сюй Мин Чи (Hsu Ming-chi), генеральный директор компании Scientech, которая снабжает своей продукцией крупнейшего контрактного производителя TSMC, назвал спрос на компоненты для систем искусственного интеллекта главной движущей силой в развитии полупроводниковой отрасли на ближайшие несколько лет. По его словам, за предыдущие 20 лет рынок полупроводниковой продукции рос буквально на 8 % в год, но в сфере компонентов для систем ИИ темпы роста в дальнейшем будут гораздо выше.

«Этот бум в отрасли ИИ только начался», — пояснил Сюй Мин Чи. Крупнейший получатель выпускаемого Scientech оборудования, как он добавил, нарастил объёмы закупок за год почти в два или три раза. Во втором полугодии выручка данной компании должна последовательно увеличиться, как считает руководитель. В дальнейшем стабильность роста спроса в сегменте будет обеспечиваться появлением новых приложений, использующих искусственный интеллект. Scientech поставляет TSMC оборудование, которое компания использует при упаковке чипов по методу CoWoS. Он сейчас весьма востребован в связи с ажиотажным спросом на ускорители вычислений Nvidia, при производстве которых также применяется. По оценкам самой TSMC, тайваньская компания принимает участие в выпуске 99 % ускорителей вычислений для сферы ИИ, производимых во всём мире. В случае с Scientech причастность к этим процессам способствовала росту котировок акций на 80 % с начала текущего года.

Техпроцесс 0,2 нм будет освоен к 2037 году, а 1,4 нм не получится без High-NA EUV — глава Imec

Бельгийский исследовательский центр Imec сотрудничает с мировыми лидерами в сфере производства чипов, а потому его руководство может представлять путь развития всей полупроводниковой отрасли на несколько лет вперёд. По его мнению, к 2037 году производители чипов смогут освоить техпроцесс A2, а тремя годами позже удастся преодолеть барьер в 0,1 нм.

 Источник изображения: Intel

Источник изображения: Intel

Если исходить из принятых TSMC обозначений, техпроцесс A2 соответствует литографическим нормам 0,2 нм или 2 ангстрема. Таким образом, в 2040 году полупроводниковая отрасль может преодолеть барьер в 1 ангстрем, если предсказания главы Imec Люка ван ден Хова (Luc Van den hove) оправдаются. Свои заявления он сделал на технологическом форуме в Тайване, работу которого широко освещали местные СМИ.

В следующем году полупроводниковая отрасль приступит к производству 2-нм чипов, причём в рамках этого техпроцесса произойдёт смена структуры транзисторов с FinFET на нанолисты (Nanosheet), а в 2027 году после перехода на техпроцесс A7 будет внедрена структура транзисторов CFET. По мнению представителя Imec, выпуск чипов по технологии A14 будет подразумевать обязательный переход на использование оборудования с высоким значением числовой апертуры (High-NA EUV).

Для TSMC миграция на High-NA EUV становится почти предопределённой. Напомним, что крупнейший в мире контрактный производитель чипов неоднократно заявлял об отсутствии намерений использовать такое оборудование при выпуске продукции по технологии A16. Её тайваньский гигант собирается освоить со второй половины 2026 года.

Samsung и TSMC едва ли окажутся покупателями бизнеса Intel по выпуску чипов

Руководство Intel рассматривает несколько вариантов вывода компании из кризиса, в том числе продажу FPGA-подразделения Altera, остановку проекта по строительству предприятия в Германии и, что наименее вероятно, продажу полупроводникового производства. Но если последнее всё-таки случится, едва ли покупателями этого актива окажутся Samsung и TSMC, передают Korea Times и Korea Herald.

 Источник изображения: Intel

Источник изображения: Intel

Потенциальная вероятность продажи полупроводникового подразделения Intel вызвала некоторую обеспокоенность на рынке — его участники начали выдвигать предположения, действительно ли Intel решится на это, и кто может быть вероятным покупателем. Доля компании на рынке контрактного производства полупроводников в настоящее время невелика, отмечает Korea Times, поэтому её влияние на конкурентов может оказаться минимальным, и едва ли она способна увеличить долю Samsung.

Распределение пяти крупнейших контрактных производителей во II квартале 2024 года не изменилось — это TSMC (62,3 %), Samsung (11,5 %), SMIC (5,7 %), UMC (5,3 %) и GlobalFoundries (4,9 %), которые прочно удерживают свои позиции, отмечает TrendForce. Более того, инвестиция Samsung в полупроводниковый бизнес Intel грозит обернуться рискованным предприятием: не связанное с памятью полупроводниковое производство Samsung по итогам II квартала 2024 года показало убыток в 300 млрд вон ($2,24 млн).

Ещё одной проблемой для потенциального покупателя актива является политика Вашингтона — полупроводниковое производство относится к вопросам национальной безопасности. Поэтому власти США могут отвергнуть кандидатуры TSMC и Samsung и утвердить американскую GlobalFoundries. Samsung же в полупроводниковом производстве столкнулась с теми же трудностями, что и Intel: TSMC известна тесными связями с технологическими гигантами, а у Samsung растут заказы от стартапов и автопроизводителей. Но сейчас, возможно, наступил переломный момент: IBM на минувшей неделе анонсировала процессор Telum II и ускоритель Spyre — они будут производиться Samsung с использованием её техпроцесса 5 нм. Компании, по мнению Korea Herald, сейчас было бы выгоднее сосредоточиться на поиске потенциальных клиентов в области ИИ и получении от них заказов, а не на попытке сражаться с TSMC.

OpenAI забронировала 1,6-нм мощности TSMC для выпуска передовых ИИ-чипов

Многие источники не раз упоминали о наличии у стартапа OpenAI амбиций по организации если не производства чипов для ускорителей вычислений, то хотя бы их разработки. По данным тайваньских СМИ, среди клиентов TSMC на передовой 1,6-нм техпроцесс A16 как раз может оказаться компания OpenAI, которая к моменту его освоения надеется располагать готовым проектом собственного чипа.

 Источник изображения: Intel

Источник изображения: Intel

Во всяком случае, об этом сообщает издание Economic Daily News. Основным заказчиком выпускаемых TSMC по технологии A16 чипов должна оказаться всё же Apple, по уже сложившейся практике и благодаря наличию у последней потребности в совершенствовании собственных мобильных процессоров. Освоить техпроцесс A16 компания TSMC рассчитывает в 2026 году, но обсуждать свои взаимоотношения с заказчиками публично она традиционно отказывается.

По данным тайваньских источников, OpenAI активно обсуждала с TSMC возможность создания специализированной фабрики исключительно под её нужды. Однако после оценки потенциальных преимуществ план создания специализированного предприятия был отложен. Разработку чипов для OpenAI ведут такие американские компании, как Broadcom и Marvell Technology, в случае с первой из них OpenAI даже может оказаться в числе её четырёх крупнейших клиентов. К слову, первые чипы OpenAI могут выпускаться TSMC по более зрелому 3-нм техпроцессу, а выбор технологии A16 уже сделан для последующих поколений.

Как отмечала TSMC ранее, 1,6-нм техпроцесс A16 по сравнению с ближайшим предшественником 2-нм N2P обеспечивает увеличение скорости переключения транзисторов на 8–10 % при неизменном напряжении, либо снижает энергопотребление на 15–20 % при том же уровне быстродействия. Плотность размещения транзисторов при этом удаётся увеличить на 10 %.

TSMC готова построить в Японии третье предприятие, но не в этом десятилетии

В следующем квартале в японской префектуре Кумамото должно начать работу совместное предприятие TSMC, Sony и Denso, получившее имя JASM. Уже утверждены планы по строительству второго предприятия к 2027 году, а вот о возможности появления третьего предприятия пока упоминают только неофициальные источники. Среди них недавно отметился и министр экономики, торговли и промышленности Тайваня.

 Источник изображения: TSMC

Источник изображения: TSMC

Как отмечает агентство Kyodo News, свои комментарии на эту тему министр Ко Цзи Хуэй (Kuo Jyh-huei) сделал во время своего визита в японскую столицу в конце августа. В Токио тайваньский чиновник принял участие в конференции, организованной представителями местной полупроводниковой отрасли. В интервью Kyodo News министр признался, что TSMC планирует построить в Японии третье предприятие, но уже после 2030 года. При этом он не стал уточнять, в каком районе расположится новый завод по контрактному производству чипов. Он просто отметил, что не знает, будет ли предприятие расположено в префектуре Кумамото по соседству с двумя другими, которые к тому времени должны будут функционировать в регионе.

По информации Kyodo, губернатор префектуры Кумамото Кэй Кимура (Kei Kimura) в прошлом месяце посетил штаб-квартиру TSMC для проведения переговоров о возможности строительства в регионе третьего предприятия. На финансирование данных проектов японские власти до сих пор охотно выделяли субсидии в нехарактерной для себя пропорции, поэтому в случае сохранения благоприятной политики местных властей TSMC может решиться на строительство третьего предприятия, если локальная инфраструктура позволит его возвести без особых усилий.

Напомним, первое предприятие JASM будет выпускать чипы в ассортименте техпроцессов от 28 до 12 нм, второе должно освоить диапазон от 40 до 6 нм. Таким образом, если TSMC и будет строить третье предприятие в следующем десятилетии, то наверняка с прицелом на освоение более продвинутой литографии. К тому времени на острове Хоккайдо должно начать работу предприятие японской корпорации Rapidus, рассчитывающее освоить выпуск 2-нм продукции с 2027 года.

Китайская SMIC отстаёт от тайваньской TSMC от силы на три года, как считают японские эксперты

Американские аналитики, как уже отмечалось ранее, оценивают технологическое отставание китайских производителей чипов от мировых лидеров в пять лет, если говорить непосредственно о выпуске полупроводниковых компонентов. Японские коллеги с ними не совсем согласны, сокращая отставание китайской SMIC от тайваньской TSMC до трёх лет.

 Источник изображения: Nikkei Asian Review, TechanaLye

Источник изображения: Nikkei Asian Review, TechanaLye

Доводы представителей японской компании TecnahaLye, которая в год разбирает для тщательного анализа по 100 устройств различных марок, строятся на сравнении площади кристаллов процессоров и уровне их производительности. Она утверждают, что выпущенный для Huawei в 2021 году 5-нм процессор HiSilicon 9000 имеет площадь 107,8 мм2, а предлагаемый с текущего года 7-нм процессор HiSilicon 9010 производства китайской SMIC при сопоставимом уровне быстродействия имеет площадь кристалла 118,4 мм2.

Приняв условно оба процессора за равные по быстродействию и площади, представители TechanaLye вычисляют отставание китайского подрядчика Huawei по времени — оно условно составляет три года. Впрочем, эксперты напоминают, что на конвейере SMIC уровень выхода годных чипов по 7-нм технологии далёк от оптимального, и это влияет на экономику производства, но чисто технически SMIC довольно близка к возможностям TSMC.

Если рассматривать состав смартфона Huawei Pura 70 Pro в целом, то при его производстве используется 37 основных полупроводниковых компонентов. Из них 14 поставляются китайской компанией HiSilicon, ещё 18 прочими китайскими производителями, и только пять остаются импортными. К ним относятся микросхемы памяти SK hynix корейского производства и датчики движения марки Bosch. При этом 86 % входящих в состав смартфона чипов выпущены в Китае, даже если несут зарубежную марку.

За два с половиной года TSMC получила от властей Японии и Китая почти $2 млрд субсидий

Компания TSMC не только собирается построить предприятия в Японии, США и Германии, но и модернизирует существующие, а потому её деятельность в Китае субсидируется местными властями. Как выяснили представители Central News Agency, только в первой половине текущего года компания получила в Японии и Китае более $250 млн субсидий, а с 2022 года общая их сумма почти достигла $2 млрд.

 Источник изображения: TSMC

По информации источника, ссылающегося на отчётность компании, в 2022 году TSMC получила более $220 млн субсидий от властей Японии и Китая, а самым «урожайным» с точки зрения субсидий оказался 2023 год, поскольку компании удалось получить почти $1,5 млрд на соответствующие нужды. Если добавить к этой сумме $250 млн, полученных в виде субсидий в прошлом полугодии, то всего за два с половиной года TSMC смогла получить от властей Японии и Китая почти $2 млрд государственной поддержки.

В Китае компания расширяет мощности своего предприятия в Нанкине, которое выпускает чипы по достаточно зрелой 28-нм технологии. Она пока не попадает под американские экспортные ограничения, поэтому TSMC может спокойно завозить необходимое оборудование в Китай. В Японии совместное предприятие JASM, акционерами которого являются Sony и Denso, уже в следующем квартале начнёт выпуск продукции по технологическим нормам 12, 16, 22 и 28 нм. К 2027 году TSMC надеется построить второе предприятие JASM, которое будет использовать технологические нормы 6, 7, 12, 16 и 40 нм.

Американское предприятие TSMC в Аризоне должно начать выпуск 4-нм чипов в первой половине 2025 года, к 2028 году будет готово второе предприятие, которое сможет наладить выпуск 2-нм продукции на территории этого штата. В планы TSMC входит и строительство третьего предприятия в Аризоне, но в более отдалённой перспективе. Власти США готовы предоставить TSMC около $6,6 млрд субсидий для реализации проектов компании в ближайшие пять лет.


window-new
Soft
Hard
Тренды 🔥