Сегодня 29 сентября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → tsmc
Быстрый переход

Samsung на 17 % снизит площадь 2-нм чипов с переносом питания на обратную сторону кристалла

Крупнейшие контрактные производители чипов так или иначе движутся к подводу питания с оборотной стороны кристалла, поскольку она позволяет оптимизировать компоновку чипа и улучшить его характеристики. Samsung Electronics ожидает, что её технология подвода питания к обратной стороне чипа в рамках 2-нм техпроцесса позволит снизить площадь кристалла на 17 %.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Как сообщает TrendForce со ссылкой на южнокорейские СМИ, соответствующие заявления в четверг прозвучали из уст Ли Сун Чэ (Lee Sungjae) — вице-президента подразделения Samsung Foundry PDK Development Team во время его выступления перед партнёрами компании. Помимо сокращения площади кристалла на 17 %, переход к подводу питания с оборотной стороны 2-нм чипов позволит на 8 % увеличить их быстродействие и на 15 % улучшить энергетическую эффективность.

Напомним, что конкурирующая Intel подобную технологию собирается применить в массовом производстве чипов по технологии 20A до конца текущего года, в её исполнении она получила обозначение PowerVia. Тайваньская TSMC подобное решение по имени Super PowerRail внедрит в рамках технологии A16 в 2026 году. При этом первая будет использовать новую структуру транзисторов RibbonFET, а вторая внедрит транзисторы с «нанолистами».

У Samsung последняя технология носит обозначение MBCFET. Второе поколение структуры транзисторов с окружающим затвором (GAA) корейская компания собирается использовать с текущего полугодия, а также применить его в рамках осваиваемого 2-нм техпроцесса позже. Сама по себе технология SF3 поднимает быстродействие чипа на 30 %, на 50 % улучшает его энергетическую эффективность, а также на 35 % сокращает площадь кристалла по сравнению с первым поколением GAA. В сочетании с подводом питания с обратной стороны кристалла (BSPDN), такая структура транзисторов обеспечит дополнительные преимущества.

TSMC начала строить завод стоимостью €10 млрд в Германии — вместе с Infineon, NXP и Bosch

Сегодня в Дрездене (Германия) состоялась церемония закладки первого блока в фундамент будущего завода компании Taiwan Semiconductor Manufacturing Co. (TSMC) стоимостью €10 млрд, сообщил ресурс Bloomberg. Около половины этой суммы будет профинансировано за счёт государственных субсидий.

 Строительство завода TSMC в Аризоне                  Источник изображения: TSMC

Строительство завода TSMC в Аризоне Источник изображения: TSMC

На церемонии присутствовали гендиректор TSMC Си Си Вэй (C.C. Wei) с председателем Европейской комиссии Урсулой фон дер Ляйен (Ursula von der Leyen) и главами компаний Infineon Technologies AG, NXP Semiconductors NV и Robert Bosch GmbH, каждая из которых владеет 10-процентной долей в совместном предприятии ESMC. У TSMC — 70 % уставного капитала в этом предприятии, которому будет принадлежать этот завод.

Германия планирует инвестировать €20 млрд в производство чипов, включая участие в финансировании строительства завода TSMC и субсидии в €10 млрд в грядущий завод Intel Corp. в Магдебурге. Урсула фон дер Ляйен подтвердила на мероприятии, что ЕС одобрил выделение Германии субсидии на строительство завода в Дрездене. «Сегодня мы одобрили государственную субсидию проекту в размере около €5 млрд», — заявила она.

Новый объект позволит Европе снизить зависимость от Азии в импорте жизненно важных технологий. Запуску проекта также способствовало то, что немецкие автопроизводители, включая Volkswagen AG и Porsche AG, выразили заинтересованность в увеличении производства микросхем в стране.

Как ожидается, ввод завода в эксплуатацию состоится ближе к концу 2027 года. Предполагается, что завод будет выпускать 28/22-нм чипы с использованием планарной технологии и 16/12-нм чипы с применением FinFET-технологии. Ежемесячно будет выпускаться около 40 000 кремниевых пластин типоразмера 300 мм.

TSMC приобрела предприятие у Innolux, чтобы использовать его для упаковки чипов

Попытки Intel и её японских партнёров приспособить для задач упаковки чипов пустующие помещения бывших предприятий Sharp по выпуску ЖК-панелей доказывают, что подобная трансформация оправдана как технически, так и экономически. В этом контексте не удивляет информация о покупке компанией TSMC предприятия Innolux по выпуску ЖК-панелей на юге Тайваня, которое также будет перепрофилировано.

 Источник изображения: Innolux

Источник изображения: Innolux

Об этом сообщило издание Liberty Times, указав, что бывшее предприятие Innolux в южной части острова обошлось TSMC в $530 млн. Сообщается, что на него также претендовала и Micron Technology, но TSMC предложила лучшую цену. Общая площадь производственных помещений этого предприятия превышает 96 000 квадратных метров. TSMC лишь отмечает, что будет использовать эти помещения для операционной деятельности и производства.

Известны случаи покупки TSMC простаивающих предприятий других компаний для использования их под склады. Так, например, произошло с предприятием E-Ton Solar Tech на юге Тайваня, которое было соответствующим образом перепрофилировано TSMC. В этом районе у компании уже есть собственное предприятие по тестированию и упаковке чипов, но оно удалено от приобретённого у Innolux, поэтому оптимизировать логистику по этому признаку не получится. В некоторых случаях TSMC приходится сносить купленные корпуса и строить на их месте новые, если планировка помещений не соответствует решаемым компанией задачам.

Реалии США тормозят создание производства TSMC в Аризоне: культурные барьеры и инфраструктурные проблемы

В мае 2020 года тайваньская компания TSMC объявила о намерении построить в штате Аризона предприятие по контрактному выпуску чипов с использованием достаточно продвинутых литографических технологий. Со временем проект разросся до трёх предприятий, первое из которых уже готово, но даже после запуска производства компании придётся сталкиваться с серьёзными проблемами локализации.

 Источник изображения: TSMC

Источник изображения: TSMC

TSMC рассчитывает вложить в строительство и оснащение оборудованием трёх предприятий в Аризоне в общей сложности $65 млрд, американские власти пока готовы предоставить субсидии в размере $6,6 млрд по так называемому «Закону о чипах». Компании не раз приходилось при реализации этого проекта сталкиваться с серьёзными проблемами, заключающимися как в неразвитости местной инфраструктуры в Аризоне, так и в культурных противоречиях между американскими и тайваньскими сотрудниками.

На Тайване для специалистов TSMC в порядке вещей является сверхурочная работа и выполнение обязанностей, не предусмотренных должностной инструкцией ради достижения какой-то срочной цели. Американским стажёрам, которые отправились на Тайвань для подготовки к дальнейшей работе на предприятиях TSMC в Аризоне, первое время приходилось тяжело. Не все выдержали положенные программой подготовки 18 месяцев и предпочли вернуться в США досрочно. Как признал руководитель американского представительства TSMC по персоналу и связям с общественностью Ричард Лю (Richard Liu), «мы постоянно напоминаем себе, что имеющиеся успехи на Тайване ещё не гарантируют перенос существующей практики сюда». Компании также пришлось сократить количество совещаний на предприятии в Аризоне в процессе его строительства, поскольку против слишком частого проведения «бесполезных встреч» выступали американские сотрудники.

Первое предприятие TSMC в Аризоне, тем не менее, уже построено и даже осуществляет пробный выпуск продукции, но массовым он станет не ранее следующего полугодия, с задержкой относительно первоначальных сроков. Примерно половина из 2200 задействованных на строительстве предприятия в Аризоне сотрудников была приглашена в Аризону с Тайваня, но поскольку после возведения всех трёх предприятий компания собирается создать около 6000 рабочих мест, заполнять вакансии она собирается преимущественно за счёт местных жителей. TSMC не готова полагаться на отправляемых с Тайваня сотрудников на постоянной основе, как призналось руководство.

Для подготовки кадров ведётся активная работа с американскими вузами, финансируются собственные образовательные программы TSMC в регионе. Студентам даже предлагают ознакомиться с будущими условиями труда, облачившись в защитные костюмы и маски, которые должны носить работники «чистых комнат» на протяжении всей рабочей смены. В используемых TSMC учебных центрах воспроизведена обстановка таких помещений. Двухнедельные курсы подготовки уже прошли около 1000 соискателей. Представители одного из вузов Аризоны заявили: «Мы становимся Кремниевой пустыней».

Июльская выручка TSMC подскочила сразу на 45 %

Квартальный отчёт TSMC практически открывал сезон финансовой статистики участников рынка полупроводниковой продукции в середине прошлого месяца, а сейчас тайваньская компания уже располагает данными за июль. Выручка крупнейшего контрактного производителя чипов за соответствующий месяц выросла почти на 45 % в годовом сравнении до $7,9 млрд в пересчёте по курсу.

 Источник изображения: TSMC

Источник изображения: TSMC

Последовательный рост выручки по сравнению с июнем текущего года составил 23,6 %, что говорит о высоком спросе на услуги TSMC по контрактному выпуску чипов. Помимо сезонного подъёма, который стимулируется подготовкой к анонсу осенью новых смартфонов и процессоров для ПК, подобную динамику выручки TSMC в июле мог обеспечить и сохраняющийся высокий спрос на компоненты для систем искусственного интеллекта.

Если рассматривать период с января по июль включительно, то выручка TSMC с начала текущего года выросла на 30,5 % до $47 млрд в годовом сравнении. По ожиданиям аналитиков, третий квартал текущего года принесёт компании увеличение выручки на 37 % до $23 млрд, поэтому первый месяц периода закладывает хороший фундамент для достижения этого прогноза. По итогам всего текущего года TSMC рассчитывает на увеличение выручки более чем на 25 %. Пока преждевременно говорить о том, что спрос на полупроводниковые компоненты в текущем квартале может упасть из-за макроэкономической неопределённости или «перегретости» сегмента искусственного интеллекта.

Nvidia может поручить Intel упаковку чипов для передовых ИИ-ускорителей

На минувшем квартальном отчётном мероприятии Intel на передний план вышли другие проблемы компании, но до этого руководство регулярно давало понять, что компания готова упаковывать чипы по заказам сторонних клиентов. Тайваньские СМИ теперь сообщают, что в условиях дефицита профильных мощностей TSMC к возможности сотрудничества с Intel присматривается Nvidia.

 Источник изображения: Nvidia

Источник изображения: Nvidia

В мае прошлого года, напомним, основатель Nvidia Дженсен Хуанг (Jensen Huang) похвалил современные техпроцессы Intel, а разговоры о возможности сотрудничества компаний начались ещё за год до того. Впрочем, новые слухи указывают на интерес Nvidia к услугам Intel именно в сфере упаковки чипов с использованием продвинутых методов. Компания TSMC обладает монопольным правом на использование упаковки CoWoS, которая нужна для выпуска ускорителей вычислений Nvidia актуальных поколений, но технологически этот метод очень близок к тем, что использует Intel. Соответственно, как полагают источники, после некоторой адаптации Intel могла бы наладить упаковку и тестирование чипов Nvidia для ускорителей вычислений.

В целом, интерес к подобным услугам Intel проявляют Qualcomm, Microsoft, Cisco и AWS (Amazon). Представители Intel не раз говорили, что в этой сфере контракты с клиентами начинают приносить выручку гораздо быстрее, чем в сфере обработки кремниевых пластин, поэтому в развитии этого направления бизнеса процессорный гигант сильно заинтересован. По слухам, Intel уже получила от Microsoft заказ на производство чипов по технологии Intel 18A на сумму $15 млрд.

TSMC запустит строительство предприятия в Германии в августе этого года

Японскому ресурсу Nikkei Asian Review удалось конкретизировать распространяемые тайваньскими СМИ слухи о готовности TSMC и её европейских партнёров начать строительство совместного предприятия в Дрездене в ближайшие недели. По уточнённым данным, церемония запуска строительства намечена на 20 августа текущего года.

 Источник изображения: TSMC

Источник изображения: TSMC

Председатель совета директоров и генеральный директор TSMC Си-Си Вэй (C.C. Wei), согласно имеющейся информации, отправится в Германию, чтобы 20 августа в присутствии поставщиков оборудования и материалов, клиентов и немецких чиновников принять участие в церемонии начала строительства первого предприятия TSMC в Европе. Формально управлять данной производственной площадкой будет совместное предприятие ESMC, в капитале которого TSMC достанутся 70 % акций, а компании Bosch, Infineon и NXP каждая получат по 10 %.

Как ожидается, строительство предприятия обойдётся более чем в 10 млрд евро, до половины затрат готовы покрыть власти того или иного уровня. Руководить предприятием будет Кристиан Коич (Christian Koitzsch), бывший старший вице-президент Bosch и руководитель дрезденского предприятия этого производителя автокомпонентов. Собственно, предприятие TSMC как раз расположится по соседству с тем самым заводом Bosch в Дрездене, да и предприятие Infineon будет от него не так удалено. Последняя из компаний свою площадку будет расширять с целью увеличения объёмов выпуска силовой электроники и аналоговых компонентов. Новые производственные мощности Infineon будут введены в строй в 2026 году.

TSMC своё европейское предприятие намеревается ввести в строй до конца 2027 года. Недавно стало известно, что Intel своё предприятие в Германии начнёт строить не ранее мая следующего года, а завершит лишь к концу 2028 года. Сместить сроки реализации своего проекта Intel заставил дефицит средств, поскольку она активно расширяет производственные мощности в других регионах планеты.

Опережая Intel: TSMC начнёт строить в Дрездене фабрику чипов в течение нескольких недель

Накануне стало известно о намерениях Intel отказаться от строительства исследовательского центра во Франции и предприятия по упаковке чипов в Италии. Попутно сообщалось о возможности возникновения задержки с запуском предприятия в Германии. Недавно издание Deutsche Welle сообщило, что строительство предприятия конкурирующей TSMC в Дрездене начнётся в течение нескольких недель.

 Источник изображения: TSMC

Источник изображения: TSMC

Первоначально считалось, что к строительству предприятия в Дрездене компания TSMC приступит в четвёртом квартале, но если речь идёт о ближайших неделях, то процесс может быть запущен ещё в третьем квартале. Ожидается, что строительство немецкого предприятия TSMC будет завершено к концу 2027 года, и это позволит компании начать выпуск продукции на нём вскоре после этого.

В европейском совместном предприятии, которое будет управлять производственной площадкой в Дрездене, компании TSMC будут принадлежать 70 % капитала, по 10 % акций достанется Bosch, Infineon и NXP. Власти Евросоюза и Германии в общей сложности собираются покрыть до половины затрат инвесторов на строительство этого предприятия. Муниципальные власти Дрездена потратят 250 млн евро на модернизацию системы водоснабжения и электроснабжения в месте строительства предприятия TSMC.

На будущем немецком предприятии TSMC намеревается выпускать 28-нм и 22-нм чипы с планарной компоновкой, а также изделия с компоновкой транзисторов типа FinFET, используя более прогрессивные технологические нормы 16 и 12 нм. Ежемесячный объём выпуска продукции может достигать 40 000 кремниевых пластин типоразмера 300 мм. Компания Intel при этом своё предприятие в Германии начнёт строить не ранее мая следующего года, как сообщают местные СМИ.

Amkor построит в США предприятие по упаковке чипов — на это выделили $600 млн госсубсидий

Более или менее удовлетворив потребности крупнейших производителей чипов в рамках так называемого «Закона о чипах», правительство США принялось распределять финансовую помощь среди более мелких компаний, которые готовы принять участие в возрождении американской полупроводниковой промышленности. Специализирующаяся на упаковке чипов Amkor получит $600 млн на строительство предприятия в Аризоне.

 Источник изображения: Amkor Technology

Источник изображения: Amkor Technology

Как можно догадаться, выбор места для строительства такого предприятия не был случайным. В Аризоне строит два передовых предприятия тайваньская компания TSMC, у Intel в этом штате тоже имеются мощности по выпуску чипов, и оба потенциальных клиента будут нуждаться в услугах компании Amkor. По меньшей мере, известно об адаптации производственных процессов этой компании под потребности TSMC и Apple, из чего можно сделать вывод, что на новых предприятиях в Аризоне этот тайваньский подрядчик будет выпускать чипы для Apple, а Amkor сможет их тестировать и упаковывать в том же штате.

Упоминаемое предприятие Amkor в Аризоне появится не позднее 2027 года, и площадь занимаемого им участка предполагает большой задел для расширения. Предприятие стоимостью около $2 млрд разместится на территории площадью более 22 га, одни только «чистые комнаты» в его составе будут занимать 46 451 м2. Это в два раза больше, чем на одном из предприятий Amkor во Вьетнаме, и строящаяся фабрика в Аризоне станет для компании не только первым предприятием на территории США, но и крупнейшим в стране. Предполагается, что оно обеспечит работой около 2000 человек.

Из $600 млн выделенных властями США средств только $400 млн будут безвозвратными субсидиями, оставшиеся $200 млн окажутся льготными кредитами. Кроме того, компания сможет воспользоваться налоговыми вычетами в размере 25 % на свои капитальные затраты. По меркам мировой отрасли, в США очень мало предприятий по упаковке чипов — всего 2 % от мирового количества, тогда как Китай занимает в этой сфере 38 %. По величине субсидий со стороны властей США компания Amkor окажется на седьмом месте после Intel, TSMC, Samsung, Micron и GlobalFoundries. Один из проектов Intel в этом рейтинге учитывается отдельной строчкой, а вообще аналогичную сумму субсидий в $400 млн получит и компания GlobalWafers, просто ей не положены льготные кредиты сверх этой суммы.

Создание фабрики TSMC помогло привлечь на японский остров Кюсю около 100 инвестиционных проектов в сфере полупроводников

В четвёртом квартале этого года на новом предприятии TSMC в Японии начнётся серийный выпуск полупроводников с использованием техпроцессов от 28-нм до 12-нм. По данным официальной статистики, общее количество реализуемых на территории острова Кюсю инвестиционных проектов в сфере полупроводников с апреля 2021 года по июнь 2024 года достигло 100 штук, а сумма привлечённых инвестиций достигла $32 млрд.

 Источник изображения: Micron Technology

Источник изображения: Micron Technology

Как известно, TSMC и её японские партнёры в лице Sony и Denso в общей сложности собираются построить на острове Кюсю в префектуре Кумамото не менее двух совместных предприятий. Только два этих объекта охватили более 60 % объёма инвестиций, направленных в регион с апреля 2021 года. Из сотни инвестиционных проектов подробности об инвестируемых суммах имеются по 72. В префектуре Кумамото в целом реализуется 52 проекта, на втором месте оказывается префектура Фукуока с 15 проектами. На острове Кюсю строят свои предприятия не только подрядчики и партнёры TSMC, но и независимые компании. По крайней мере, производитель силовой электроники Rohm намеревается вложить в строительство своего предприятия в префектуре Миязаки около $1,9 млрд.

Всего в строительство двух предприятий TSMC в Японии будет вложено около $20 млрд, из них власти страны компенсируют в виде субсидий около $7,7 млрд, что довольно много по меркам мировой отрасли, а для японских проектов вообще беспрецедентно щедро. Sony в апреле начала строительство своего предприятия по выпуску датчиков изображений в Кумамото, поскольку в капитале совместного предприятия с TSMC она участвует именно ради доступа к возможности выпускать соответствующие компоненты. Поставщик кремниевых пластин Sumco под реализацию сопутствующих проектов выделяет $2,6 млрд, не только расширяя свои имеющиеся предприятия на острове Кюсю, но и намереваясь построить новое.

Первое предприятие TSMC на этом острове сможет выдавать до 55 000 кремниевых пластин ч чипами в месяц, работая с технологическими нормами 28, 22, 16 и 12 нм. Второе начнёт возводиться в конце текущего года, оно будет построено к концу 2027 года и сможет выпускать 7-нм и 6-нм продукцию. Оба предприятия в совокупности смогут обрабатывать по 100 000 кремниевых пластин в месяц.

Nvidia пыталась выбить у TSMC выделенную линию по 3D-упаковке ИИ-чипов, но не вышло

В плане своей способности поставлять клиентам ускорители вычислений Nvidia зависит от TSMC не только с точки зрения обработки кремниевых пластин, но и на этапе компоновки чипов с использованием уникального метода пространственной упаковки CoWoS. Попытки руководства первой из компаний получить для этих нужд выделенные производственные мощности TSMC не увенчались успехом, если верить слухам.

 Источник изображения: Nvidia

Источник изображения: Nvidia

Данную информацию публикует ресурс Mirror Media, ссылаясь на подробности о программе визита основателя Nvidia Дженсена Хуанга (Jensen Huang) на Тайвань в июне этого года. По данным источников, тогда у него состоялась встреча не только с отошедшим от дел основателем TSMC Моррисом Чаном (Morris Chang), но и действующим руководителем компании Си-Си Вэем (C.C. Wei). Глава Nvidia в тот момент, если верить слухам, попросил у TSMC выделить под нужды его компании отдельную производственную линию, на которой будут упаковываться ИИ-чипы этой марки, но получил отказ от представителей тайваньского подрядчика. Исход переговоров создал некоторую напряжённость в отношениях между компаниями, как отмечают источники, но нынешний председатель совета директоров Си-Си Вэй сделал всё возможное, чтобы загладить последствия.

На недавней квартальной конференции руководство TSMC признало, что компания не сможет удовлетворить спрос на выпуск компонентов для систем искусственного интеллекта как минимум до 2026 года. При этом тайваньский производитель до сих пор не может найти баланс спроса и предложения, но старается рационально определять размер необходимых капитальных затрат. По всей видимости, вложения в производственную линию для упаковки чипов Nvidia на данном этапе кажутся руководству TSMC нерациональными. Тем более, что норма прибыли в этой сфере услуг приближается к средней по компании, не обеспечивая каких-то впечатляющих преимуществ. Как отмечается, отказ TSMC был мотивирован возможными последствиями для отношений компании с другими клиентами, которые также захотели бы добиться определённых привилегий. Сохраняя равные для всех клиентов условия, TSMC может обеспечить более предсказуемую ситуацию с масштабированием производственных мощностей.

В прошлом, как отмечают знакомые с практикой дел TSMC источники, эта компания предоставляла крупным клиентам определённые привилегии. Например, Apple в своё время попросила предоставить ей выделенные линии по выпуску чипов, и TSMC пошла на это, но в тот период тайваньский производитель сильно зависел от заказов Apple и не мог пренебрегать такой возможностью оптимальным образом загрузить свой конвейер. В случае с Nvidia ситуация заметно отличается. Как ожидается, TSMC не сможет покрыть потребности рынка в мощностях по упаковке чипов по методу CoWoS даже к концу следующего года, поскольку спрос будет расти опережающими темпами. Подобное положение на рынке, близкое к монопольному, позволяет TSMC более жёстко отстаивать свои интересы в переговорах с заказчиками. Это заметно даже по высказываниям Си-Си Вэя, который недавно признался, что хотел бы брать с той же Nvidia больше денег за услуги TSMC.

TSMC не собирается создавать в США совместное предприятие, несмотря на высказывания Дональда Трампа

Довольно оптимистичный квартальный отчёт TSMC и сопутствующие прогнозы руководства компании не смогли перевесить негативный информационный фон, сформированный накануне высказываниями кандидата в президенты США Дональда Трампа (Donald Trump) в адрес Тайваня. Руководство компании при этом подчеркнуло, что не собирается создавать в США совместное предприятие с местными компаниями.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

По сути, сама вероятность создания такого совместного предприятия продиктована спецификой работы TSMC над строительством предприятий в Японии, где она является мажоритарным акционером совместного предприятия JASM, а местным Sony и Denso принадлежат по 20 и 10 % его акций соответственно. В Германии, где TSMC собирается построить свою фабрику по производству чипов, тоже создано совместное предприятие, в котором сама тайваньская компания контролирует 70 % акций, а остальные 30 % распределены в равных долях между Bosch, Infineon и NXP. Последняя хоть и зарегистрирована в Нидерландах, интересы европейского полупроводникового бизнеса представлять способна.

В США компания TSMC строит предприятия по выпуску передовых чипов от лица своей дочерней структуры, которой полностью владеет. Генеральный директор компании Си-Си Вэй (C.C. Wei) в ходе ответа на вопросы аналитиков после публикации квартальной отчётности вчера заявил, что TSMC не имеет планов по созданию в США совместного предприятия для устранения геополитических неопределённостей. Все проекты по расширению производственных мощностей TSMC за пределами Тайваня, по его словам, сейчас реализуются согласно заранее намеченным планам: «Пока мы не вносили никаких изменений в планы по расширению своих зарубежных фабрик. Мы продолжим расширяться в Аризоне и Кумамото (Япония), а в будущем, вероятно, и в Европе. Нет никаких изменений в нашей стратегии. Мы продолжаем действовать в соответствии с текущей практикой».

Фондовый рынок на противоречивые сигналы в отношении бизнеса, связанного с выпуском чипов на Тайване, в итоге отреагировал снижением курса акций TSMC на 3,5 % на утренних торгах, хотя вчера в ходе предварительной сессии они немного укреплялись в цене. В целом, тайваньский биржевой индекс утром в пятницу тоже просел на 0,73 %, поэтому геополитические факторы в глазах инвесторов оказались более весомыми, чем технологические и экономические.

Зато аналитики Barclays в целом позитивно оценили сообщения руководства TSMC о ближайших планах компании. Для неё, по мнению экспертов, бум систем искусственного интеллекта полезен тем, что средняя площадь кристалла выпускаемых чипов вырастет на 10 %, а потому клиентам понадобится больше чипов для решения собственных задач. Соответственно, вырастет выручка TSMC, которая производит эти чипы из кремниевых пластин фиксированной площади. Кроме того, вырастет спрос на передовые техпроцессы, и тот же 2-нм сможет принести TSMC больше выручки, чем 3-нм технология на сопоставимом этапе рыночного цикла.

OpenAI вела переговоры с Broadcom о разработке ИИ-ускорителя

Амбиции руководства OpenAI в сфере разработки и производства собственных ускорителей для систем искусственного интеллекта не являются секретом, и накануне издание The Information сообщило, что компания вела переговоры о разработке соответствующего чипа с Broadcom. Акции последней на этом фоне успели вырасти в цене на 3 %.

 Источник изображения: Shutterstock

Источник изображения: Shutterstock

Как отмечает источник, Broadcom была лишь одним из разработчиков, с которыми вела переговоры OpenAI. Среди вовлечённых в процесс оказались и выходцы из Google, имеющие опыт разработки процессоров семейства Tensor. Некоторых из них OpenAI успела нанять для реализации соответствующих собственных замыслов. Перед специалистами ставилась задача по разработке ускорителей серверного класса для систем искусственного интеллекта.

Глава OpenAI Сэм Альтман (Sam Altman) не раз жаловался на нехватку аппаратной инфраструктуры для подобающего его планам развития систем искусственного интеллекта. Его компания могла бы оказать содействие партнёрам в строительстве не только центров обработки данных, но и предприятий по выпуску компонентов, а также электростанций. В составе Broadcom имеется подразделение, разрабатывающее чипы с учётом пожеланий конкретных заказчиков.

Если бы проект OpenAI удалось реализовать, то процессор Broadcom начал выпускаться не ранее 2026 года в лучшем случае. Попутно руководству OpenAI пришлось вести переговоры с Samsung и SK hynix по поводу оснащения соответствующих ускорителей памятью типа HBM. Выпускать чип OpenAI планировала на мощностях компании TSMC, причём Альтман вёл с последней переговоры и об увеличении объёмов выпуска чипов Nvidia, которые OpenAI также активно использует.

TSMC анонсировала Foundry 2.0 — концепцию полупроводниковой фабрики будущего, которая будет не только выпускать кристаллы

На квартальном отчётном мероприятии руководство TSMC обсудило и ряд концептуальных вопросов. Компания сообщила, что в дальнейшем рассчитывает позиционировать себя как провайдера комплексных услуг по выпуску чипов, предоставляя клиентам не просто кристаллы, а готовые продукты. Фабрика должна включать не только полупроводниковое производство, но и смежные вещи, включая подготовку литографических масок, упаковку и тестирование микросхем.

 Источник изображения: TSMC

Источник изображения: TSMC

TSMC уже какое-то время не только выпускает чипы, но и оказывает услуги в сфере упаковки сложных по своей компоновке изделий. И они оказались особенно востребованы в эпоху так называемого «бума искусственного интеллекта», поскольку именно TSMC упаковывает и тестирует для Nvidia чипы, на основе которых та силами других партнёров производит ускорители вычислений для систем искусственного интеллекта. Сейчас TSMC монополизировала данный вид услуг в глазах Nvidia, используя методику упаковки CoWoS, но на квартальной отчётной конференции глава TSMC Си-Си Вэй (C.C. Wei) дал понять, что она не только расширяет ударными темпами существующие производственные мощности, но и готовится внедрять более прогрессивные методы упаковки чипов.

По статистике TrendForce, в первом квартале текущего года TSMC контролировала около 61,7 % мирового рынка услуг по контрактному производству чипов в денежном выражении. Председатель совета директоров компании настаивает, что в рамках концепции Foundry 2.0 этот производитель чипов готов оказывать большинство сопутствующих услуг, от производства фотомасок до упаковки обработанных кристаллов в корпус с их дальнейшем тестированием. Профильные мощности по упаковке чипов, как уже отмечалось, компания активно расширяет. Выпускать фотомаски она может благодаря сделке с Intel, по условиям которой она в прошлом году получила 10 % акций профильного подразделения этой компании, получившего относительную структурную самостоятельность.

По словам руководителя TSMC, соответствующая концепции Foundry 2.0 деятельность компании позволит оценить её долю на мировом рынке профильных услуг примерно в 28 %. Заметим, это ниже тех 61,7 %, которые компания контролирует в сфере обработки кремниевых пластин, но и количество конкурентов по мере выхода в сегменты тестирования чипов и изготовления фотомасок у неё растёт. При этом руководство TSMC оценивает потенциал роста выручки всей контрактной отрасли по итогам текущего года в 10 %, поэтому бизнес самой компании также окажется в выигрыше от подобных тенденций. Соответственно, позже вырастет и доля компании на этом рынке в его более широком определении. Весь полупроводниковый рынок в этом году, за исключением сегмента памяти, вырастет на те же 10 %, как считают в TSMC. Финансовый директор компании Уэнделл Хуанг (Wendell Huang) добавил, что решение о представлении концепции «Foundry 2.0» созрело у TSMC на фоне выхода на рынок контрактных услуг некоторых вертикально интегрированных разработчиков чипов. Под этим определением угадывается Intel с её концепцией IDM 2.0.

Дефицит ИИ-чипов сохранится до 2026 года, прогнозируют в TSMC

Осторожность руководства TSMC в оценке влияния бума ИИ на бизнес компании, наблюдавшаяся в апреле, сменилась на более выраженную уверенность в сохранении высокого спроса на соответствующие чипы. Председатель совета директоров Си-Си Вэй (C.C. Wei) заявил, что возможности TSMC в сфере поставок компонентов для систем искусственного интеллекта будут ограничены на протяжении всего 2025 года.

 Источник изображения: TSMC

Источник изображения: TSMC

Соответственно, если дефицит немного и отступит, это произойдёт не ранее 2026 года. Об уверенности контрактного производителя в сохранении высокого спроса говорит и повышение нижней границы диапазона капитальных затрат на этот год, а также улучшение прогноза по росту выручки. Помимо собственно линий по обработке кремниевых пластин, TSMC вынуждена больше денег тратить и на упаковку чипов для систем ИИ, имеющих сложную пространственную компоновку. Компания готова искать более прогрессивную альтернативу методу CoWoS, который сейчас используется для упаковки чипов Nvidia, применяемых в составе ускорителей вычислений. «Спрос очень высок, поставки будут сильно ограничены вплоть до 2025 года включительно, и мы надеемся, что облегчение наступит в 2026 году. Мы продолжаем наращивать производственные мощности в любых местах и любыми способами»,пояснил Си-Си Вэй.

По словам генерального директора TSMC, коим также является Си-Си Вэй, в настоящее время компания экспериментирует с методом упаковки FOPLP (panel fan-out technology), но она не слишком созрела для массового производства. Случится это примерно через три года, как предполагает глава компании. К тому времени и сама TSMC будет готова освоить этот метод упаковки чипов в условиях массового производства. Глава компании добавил, что в части CoWoS она более чем в два раза к этому году удвоила профильные производственные мощности, и в следующем году может удвоить их ещё раз. Ранее считалось, что на этом направлении дефицит будет устранён к концу 2024 года.

Си-Си Вэй пояснил, что первое поколение 2-нм чипов встанет на конвейер TSMC во второй половине 2025 года, а второе последует за ним в 2026 году. Во второй половине 2026 года компания планирует освоить выпуск продукции по более совершенному техпроцессу A16.

В сфере искусственного интеллекта, как считает глава TSMC, спрос распространится и на периферийные устройства вычислительных систем типа смартфонов и ПК, но пока это никак не влияет на количественные показатели поставок продукции в соответствующих сегментах рынка. За два последующих года развитие рынка устройств с функциями ускорения ИИ позволит сократить длительность цикла эксплуатации таких устройств. Сейчас спрос со стороны заказчиков TSMC особенно высок на выпуск продукции с использованием 5-нм и 3-нм техпроцессов. Уже сейчас ведётся работа по обеспечению клиентов адекватными квотами на выпуск такой продукции с расчётом на 2026 год.

Несмотря на прозвучавшие в американском информационном поле вчера неоднозначные заявления одного из кандидатов на пост президента США, руководство TSMC не стало пересматривать свои планы относительно строительства своих зарубежных предприятий. В этой сфере всё идёт по графику и каких-либо изменений сейчас не предвидится, как дал понять Си-Си Вэй.

Ранее уже отмечалось, что руководство TSMC не исключает возможности повышения цен на свои услуги для компании Nvidia. Сегодня Си-Си Вэй добавил, что его компания сталкивается с растущим ценовым давлением. Затраты растут из-за усложнения техпроцессов, дорожающего электричества на Тайване и высоких капитальных расходов при строительстве зарубежных предприятий. При этом TSMC настаивает, что не придерживается оппортунистического подхода к формированию цен, и выстраивает свои отношения с клиентами, пытаясь убедить их в адекватной ценности своих услуг. Аналитики Nomura Global Market Research считают, что TSMC поднимет цены на свои услуги на 5–10 % с января 2025 года.


window-new
Soft
Hard
Тренды 🔥
Рождение экосистемы: Intel объявила о доступности ИИ-ускорителей Gaudi3 и решений на их основе 2 ч.
Индия запустила сразу пять суперкомпьютеров за два дня 3 ч.
Корабль SpaceX Dragon Crew-9 с россиянином и американцем отправился на МКС 5 ч.
Министр энергетики США не против иностранных инвестиций в ИИ ЦОД 6 ч.
Google представила технологию проектирования микросхем AlphaChip с помощью ИИ 7 ч.
Xiaomi представила внешний аккумулятор Power Bank 25000 с выходной мощностью до 212 Вт 10 ч.
В Швейцарии придумали роборуку, которая может отсоединяться от манипулятора и самостоятельно ползать 10 ч.
Мировой облачный рынок стремительно растёт: затраты в сегменте ЦОД за полгода подскочили почти на треть 12 ч.
В Ирландии построят первое в Европе хранилище энергии на батареях с обратимой коррозией металла 12 ч.
В Китае впервые представили лунный скафандр — мощный и элегантный 15 ч.