Сегодня 30 сентября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → tsmc
Быстрый переход

TSMC рассказала, как будет улучшать 2-нм техпроцесс N2 — оптимизация питания в N2P и повышение скорости в N2X

Компания TSMC рассекретила планы совершенствования 2-нм техпроцесса N2, массовое производство по которому должно стартовать в 2025 году. Спустя год после этого будет внедрён оптимизированный по шине питания техпроцесс N2P, а ещё через некоторое время компания запустит техпроцесс N2X для решений с высшей производительностью. Развитие 2-нм техпроцесса TSMC будет стремительным, что может объясняться опасениями TSMC отстать от Intel и Samsung.

 Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Официально техпроцесс с нормами 2 нм тайваньский чипмейкер представил летом прошлого года. Производство полупроводников с этими технологическими нормами начнётся в 2025 году. Главной особенностью техпроцесса N2 станет переход с FinFET на транзисторы с круговым затвором (GAAFET). Это снизит токи утечки, позволит гибко регулировать производительность и оптимизирует потребление. Другой важной особенностью техпроцесса N2 должен был стать перенос линий питания чипа на другую сторону кристалла, что будет означать развязку шины данных и управления с питанием.

 Источник изображений: TSMC

Источник изображений: TSMC

Как теперь становится понятно, перенос линий питания ожидается в процессе внедрения техпроцесса N2P, что произойдёт в 2026 году. Из предыдущих заявлений компании первой реализации идеи можно было ожидать в 2025 году. Разнесение интерфейсов питания и данных по разные стороны кристалла решает множество проблем. Так, линии подвода питания к транзисторам станут короче, что снизит их сопротивление. Разнесение разводки уменьшит площадь кристаллов, львиную долю которой съедали линии передачи и межслойные контакты. Наконец, хотя это не всё, снизятся взаимные помехи, что скажется на стабильности сигнальных характеристик чипов.

Снижение площади кристалла, занятой контактами и разводкой, приведёт к значительному увеличению плотности транзисторов. Ранее TSMC заявляла, что переход от техпроцесса с нормами 3 нм к нормам 2 нм увеличит плотность транзисторов на 10 %. К настоящему моменту прогноз был улучшен до 15 % и, в случае внедрения техпроцесса N2P, плотность может вырасти на двухзначную величину, которую компания пока не конкретизирует. Закон Мура вздохнёт ещё раз перед своей смертью.

О техпроцессе N2X, который будет внедряться в 2026 году или позже, компания ничего не сообщила. Можно предположить, что это будет не слишком распространённое предложение, тогда как техпроцесс N2P обещает стать рабочей лошадкой компании на этапе 2-нм производства чипов.

Также компания сообщила о прогрессе в подготовке базового 2-нм техпроцесса. Производительность транзисторов GAAFET в составе опытного кремния доходит до 80 % от целевых значений. И это за два года до начала внедрения, что очень и очень хорошо. При этом уровень брака при производстве 2-нм ячеек SRAM объёмом 256 Мбит снизился до 50 % и менее.

 Источник изображения: Anandtech

Источник изображения: Anandtech

В целом техпроцесс с нормами 2 нм позволит TSMC повысить производительность транзисторов на 10–15 % при той же мощности и сложности, или снизить энергопотребление на 25–30 % при тех же тактовых частотах и количестве транзисторов. На бумаге TSMC отстаёт от компании Intel на год или два и успехи одной из компаний не дают покоя другой. Если каждая из них сдержит обещания, то чипы TSMC с транзисторами GAAFET появятся на два года позже аналогичных чипов Intel (20A), что также касается планов переноса линий питания на обратную сторону кристалла.

У TSMC возникли проблемы с выпуском 3-нм чипов — она не успевает за спросом Apple

На минувшей квартальной отчётной конференции генеральный директор TSMC Си-Си Вэй (C.C. Wei) заявил, что компания является первым производителем, наладившим массовое производство 3-нм изделий с высоким уровнем выхода годной продукции. При этом спрос на эту услугу превышает возможности TSMC, и по итогам всего года доля 3-нм техпроцесса в структуре выручки компании ограничится 5 или 6 %.

 Источник изображения: TSMC

Источник изображения: TSMC

Напомним, что Samsung Electronics формально опередила TSMC по срокам освоения выпуска 3-нм продукции на несколько месяцев, но последняя попыталась компенсировать своё отставание более быстрой экспансией объёмов производства на данном направлении. На практике же только в третьем квартале этого года выручка от реализации 3-нм продукции TSMC достигнет «значимых величин», как признался сам руководитель компании.

По мнению аналитиков Susquehanna International Group, даже в этих не самых оптимальных условиях TSMC остаётся технологическим лидером рынка, поскольку Samsung пока не может предложить стабильного уровня качества продукции, а контрактное подразделение Intel этих двух конкурентов если и догонит в технологическом плане, то лишь через несколько лет. Как отмечают эксперты, во второй половине этого года TSMC должна наладить выпуск 3-нм процессоров Apple A17 и M3, а также ряд серверных процессоров по технологиям N4 и N3. Кристаллы со встроенной графикой центральных процессоров Intel Meteor Lake компания TSMC будет выпускать по техпроцессу N5, а серверные процессоры AMD Genoa и NVIDIA Grace — по техпроцессам N5 и N4. Компанию им составят ускорители вычислений NVIDIA H100, которые будут выпускаться по технологии N5.

Как предполагают аналитики Arete Research, компания Apple в течение первых трёх или четырёх кварталов будет платить TSMC только за годные кристаллы, выпускаемые по технологии N3. Переход на оплату полных кремниевых пластин станет возможным только после того, как уровень выхода годных изделий превысит 70 %. Лишь в первой половине следующего года Apple начнёт платить TSMC по $17 000 за одну кремниевую пластину с 3-нм чипами. В настоящее время, как считают авторы прогноза, уровень выхода годной продукции по ассортименту 3-нм процессоров Apple не превышает 55 %, но и это считается хорошим показателем для данного этапа жизненного цикла. Компания TSMC стремится повышать этот уровень на пять процентных пунктов каждый квартал.

Процессор A17, который предназначается для использования в смартфонах Apple, будет иметь площадь кристалла около 100 или 110 мм2, а процессор M3 для ноутбуков и настольных ПК получит площадь около 135 или 150 мм2. Компании TSMC, по мнению источника, пришлось задержаться с внедрением 3-нм техпроцесса из-за необходимости применения EUV-литографии с использованием множественных масок. В первом поколении 3-нм технологии TSMC кристаллы выпускаемых чипов будут получаться относительно крупными, и добиться масштабирования размеров в сторону уменьшения удастся лишь после перехода на использование более современного литографического оборудования не ранее следующего полугодия. С одной кремниевой пластины удастся получить на 30 % больше кристаллов после завершения этой миграции.

Освоить производство 2-нм чипов TSMC рассчитывает в 2025 году, по сравнению с предложениями конкурентов они будут обладать более привлекательными показателями плотности размещения транзисторов и энергетической эффективности. Сейчас экономические показатели TSMC страдают от низкой степени загрузки конвейера, в среднем она не превышает 66 % по состоянию на второй квартал текущего года, а в сегменте техпроцессов серии N7 вообще опустилась ниже 50 %. Выход новых продуктов во втором полугодии позволит компании TSMC поднять степень загрузки оборудования. В четвёртом квартале прошлого года величина складских запасов клиентов TSMC в разы превышала норму по некоторым из них. У той же NVIDIA показатель перекрыл среднее значение более чем в два раза, AMD вышла за пределы нормы примерно на треть. Затоваривание было вызвано снижением спроса на продукцию этих компаний.

У китайских производителей чипов резко упала загрузка производственных мощностей

В связи с ослаблением спроса у Semiconductor Manufacturing International Corporation и других китайских предприятий по производству полупроводников в последнее время наблюдается резкое снижение загрузки производственных мощностей, вследствие чего они замедляют расширение.

 Источник изображения: freepik

Источник изображения: freepik

По словам источников, китайские производители чипов сталкиваются с дополнительным давлением — загрузка их производств резко снизились, но что ещё хуже, государственные субсидии иссякли и теперь компаниям придётся подождать, пока правительство не запустит следующую волну субсидий. Китайская экономика ещё не восстановилась, а доверие потребителей остаётся низким. Источники сообщают, что многие отрасли промышленности нуждаются в государственной помощи, но государственные ресурсы ограничены. Из-за того, что государственная финансовая поддержка остановилась, а загрузка производственных мощностей резко упала, у производителей не было другого выбора, кроме как приостановить свои планы по расширению мощностей.

SMIC расширяет мощности в основном в Пекине, Шэньчжэне и Шанхае. В 2022 году компания объявила о плане инвестировать 7,5 млрд долларов США в строительство завода по обработке 300-мм пластин в Тяньцзине с ежемесячной мощностью 100 000 пластин для техпроцессов от 0,18 мкм до 28 нм. SMIC и другие китайские производители сильно зависят от заказов от местных клиентов, а спрос на рынках мобильных телефонов, бытовой электроники, автомобильного, сетевого и IoT-сегментов рынка слабеет.

Тайваньская TSMC не снизила свои капиталовложения, но по-прежнему замедляет темпы расширения, чтобы предотвратить избыток мощностей. Источники сообщили, что TSMC задержала заказы на оборудование и материалы для проектов расширения мощностей на срок от шести месяцев до одного года.

Vanguard International Semiconductor (VIS), Powerchip Semiconductor Manufacturing (PSMC) и United Microelectronics (UMC) также отложили или сократили свои планы расширения. UMC, загрузка мощностей которой упала до 70 % в первом квартале 2023 года, заявила, что уже приняла строгие меры по контролю затрат и отодвинет некоторые планы расходов.

TSMC неспешно перейдёт на питание от солнечных панелей

Крупнейший в мире контрактный производитель чипов, тайваньская компания TSMC, обязался за 20 лет потребить 20 ТВт·ч электричества, выработанного солнечными панелями. Это поможет снизить выбросы парниковых газов при производстве «кремния», уровень которых огромен. По данным за 2021 год, одна только TSMC ежегодно выбрасывала в атмосферу свыше 16 млн т парниковых газов. Новый «зелёный» проект будет реализован совместно с компанией ARK Power.

 Источник изображения: Pixabay

Источник изображения: Pixabay

На деле красивые и круглые цифры оказываются несколько не тем, о чём говорят представители TSMC. Из ежегодно запланированных для потребления TSMC 1000 ГВт·ч «солнечного» электричества непосредственно компания будет покупать только половину — 500 ГВт·ч. Остальные 500 ГВт·ч будут закупать поставщики TSMC. Косвенно это тоже будет «озеленять» производство и продукцию TSMC. Тем более что утверждённая ООН климатическая инициатива «Научно обоснованные цели» (SBTi) в рамках уровня Scope3 предписывает сокращать выбросы во всей цепочке поставок.

Закупать солнечную энергию TSMC и её поставщики будут на коллективной основе с разделением затрат на ремонт, модернизацию, обслуживание и прочее. Поставлять электричество будут фермы, которые ещё предстоит развернуть в следующие три года. По прогнозам компании ARK Power, проект должен привести к установке около 2 ГВт солнечных мощностей.

«Благодаря этой инновационной модели совместных закупок возобновляемой энергии мы объединяем усилия с нашими отраслевыми партнёрами для продвижения устойчивой низкоуглеродной цепочки поставок полупроводников», — сказал в своем заявлении Джей Кей Лин (J.K. Lin), вице-президент по информационным технологиям и управлению материалами компании TSMC.

 Три уровня (сферы) ответственности компаний за выбросы парниковых газов

Три уровня (сферы) ответственности компаний за выбросы парниковых газов

Как и другие компании, TSMC стремится сделать производство углероднонейтральным к 2050 году. Для достижения этой цели ей необходимо заставить стать углероднонейтральными множество поставщиков, что выглядит сложной задачей. Подготовка сырья, транспортировка и само изготовление микросхем — это всё очень и очень энергоёмкие производства. Заявленные 1000 ГВт·ч — это капля в море, которые, к тому же, начнут поставляться не ранее, чем через три года.

TSMC намерена получить $15 млрд субсидий на строительство фабрик чипов в США, но недовольна поставленными условиями

TSMC, которая строит в США полупроводниковые заводы общей стоимостью $40 млрд, обеспокоена условиями американского правительства для получения субсидий. Там требуют делиться прибылью с построенных заводов и предоставлять подробную информацию об операциях. Это может помешать сотрудничеству производителей микросхем с Вашингтоном в создании мощностей по производству чипов в США. Южнокорейские производители чипов также недовольны такими условиями.

 Источник изображения: Pixabay

Источник изображения: Pixabay

«Некоторые условия являются неприемлемыми, и мы стремимся смягчить любое их негативное воздействие и продолжим переговоры с правительством США», — заявил председатель TSMC Марк Лю (Mark Liu). Представитель Министерства торговли Тайваня пообещал, что ведомство будет защищать конфиденциальную деловую информацию и ожидать участия в прибылях только в том случае, если денежный поток значительно превысит прогнозы.

Принятый в прошлом году в США «Закон о чипах» предусматривает финансирование на сумму около $53 млрд, большая часть из которых предназначена для строительства заводов по производству чипов. Администрация Байдена заявляет, что закон направлен на защиту американских налогоплательщиков, контроль целевого использования средств и максимальное снижение зависимости США от полупроводников иностранного производства, особенно используемых Пентагоном. Федеральное правительство использует свои деньги для преобразования отрасли, которую оно считает важной для национальной безопасности.

США начинают эксперимент в области промышленной политики — администрация надеется снова сделать США центром производства чипов после того, как в последние десятилетия бизнес в значительной степени мигрировал в Азию. TSMC начала строительство одного завода в Аризоне и планирует ещё один. Проект, в случае успеха, станет одним из самых ярких примеров усилий правительства. TSMC рассчитывает получить налоговые льготы в размере от $7 до $8 млрд в соответствии с положениями Закона о чипах. Кроме того, TSMC планирует запросить от $6 до $7 млрд в виде грантов для двух заводов в Аризоне, в результате чего общая поддержка правительства США может достигнуть $15 млрд.

Предметом жёстких переговоров, видимо, станет требование правительства США, чтобы производители чипов, получающие более $150 млн в виде прямого финансирования, делились частью своих доходов от инвестиций, если доходы превысят прогнозы. Министерство торговли заявило, что требование о распределении прибыли может быть отменено в исключительных обстоятельствах, и условия будут устанавливаться в каждом конкретном случае. «Мы не выписываем пустые чеки ни одной компании, которая просит об этом», — заявила министр торговли Джина Раймондо (Gina Raimondo).

По оценкам TSMC, затраты на строительство в США в несколько раз превышают аналогичные затраты на Тайване. По прогнозам компании, производство чипов в Аризоне может стоить как минимум на 50 % дороже, чем на Тайване. TSMC обеспокоена тем, что бизнес-план фабрик в Аризоне может не сработать, если его потенциальная прибыль будет ограничена правительством, а также видит проблемы с расчётом прибыли. Требование правительства предоставить широкий доступ к бухгалтерским книгам и операциям TSMC является ещё одним камнем преткновения, особенно в отрасли, где компании склонны хранить в секрете чувствительную бизнес-информацию.

Как контрактный производитель чипов для таких клиентов, как Apple, TSMC имеет доступ к бизнес-планам и проектам продуктов для многих ведущих мировых компаний. Компания тщательно охраняет технологии от конкурентов и не хочет, чтобы информация попадала к любой сторонней организации. Министерство торговли в свою очередь настаивает, что оно должно контролировать целевое использование выделенных грантов и может потребовать возврата средств, использованных не по назначению.

Корейские производители чипов Samsung Electronics и SK hyniх также в настоящее время взвешивают, стоит ли обращаться за помощью к правительству США при строительстве фабрик в Америке. Как и TSMC, корейские компании неохотно делятся информацией с Вашингтоном, при этом их особенно беспокоит необходимость ограничения инвестиций в Китае при получении субсидий США.

Ограничения инвестиций в Китае меньше затрагивают TSMC, которая производит в Китае менее продвинутые чипы, не подпадающие под Закон о чипах США. Компания планирует завершить свою текущую экспансию в Китае к середине этого года и, вероятно, сможет обойтись без дальнейших крупных финансовых вливаний в Китае, пока будет идти строительство полупроводниковых фабрик в Аризоне.

TSMC ожидает, что Япония оплатит половину её местного завода чипов, который обойдётся в $8 млрд

Тайваньская TSMC (Taiwan Semiconductor Manufacturing Co.) заявила, что новый завод по выпуску чипов, который строится в Японии, будет стоить около $8 млрд. При этом местные власти должны помочь компании, оплатив примерно половину из необходимых затрат.

 Источник изображения: Republica/pixabay.com

Источник изображения: Republica/pixabay.com

Как сообщает Bloomberg, компания TSMC выступила с комментариями о будущем строительства. В последнее время, для обеспечения дополнительной безопасности бизнеса, TSMC диверсифицирует производство, опасаясь нарастания геополитической напряжённости — основные заводы компании сосредоточены на Тайване, который Китай считает частью своей территории. Кроме того, под угрозой могут оказаться и цепочки поставок.

Япония уже одобрила выделение 774 млрд иен ($6,8 млрд) на финансирование местной полупроводниковой индустрии и, вполне вероятно, что на проект TSMC будет выделена значительная часть этих средств.

Известно, что это внеплановая статья расходов местных властей на текущий фискальный год. 617 млрд иен должны быть выделены для местных инвестиций в передовые технологии производства чипов, 47 млрд иен — на существующие производственные мощности, а также 110 млрд иен на исследования и разработку чипов следующего поколения.

TSMC уже сотрудничает с Sony Group Corp. в строительстве завода в префектуре Кумамото. Правящая японская партия и правительство объявили о приоритетной поддержке компаний, связанных с производством полупроводников.

Основными сегодня являются решения для 5G и системы автономного вождения, а также и метавселенной, все они нуждаются в огромных вычислительных мощностях, а мировые перебои в цепочках поставок продемонстрировали необходимость в дополнительном обеспечении локального производства.

Поставки чипов TSMC обвалились на 14,6 % в первом квартале и вряд ли ситуация исправится во втором

Для тайваньской компании TSMC первый квартал стал сложным и в чём-то противоречивым периодом. В тайваньской валюте выручка год к году выросла на 3,6 %, но в долларах США она сократилась на 4,8 %, а чистая прибыль выросла на минимальные за последние четыре года 2,1 % до $6,8 млрд. Наконец, количество выпущенной продукции по сравнению с прошлым годом сократилось сразу на 14,6 %.

 Источник изображения: TSMC

Источник изображения: TSMC

Поскольку темпы снижения выручки оказались ниже, очевидно, что ценовая политика TSMC и её затраты были скорректированы таким образом, чтобы не допустить пропорционального снижения доходов. Долларовая выручка компании достигла $16,72 млрд, последовательно она упала на 16,1 %, но для этого сезона такая тенденция вполне привычна. Норму прибыли компании удалось удержать на уровне 56,3 %. Это выше, чем год назад (55,6 %), но ниже, чем в четвёртом квартале прошлого года (62,2 %).

Операционные расходы TSMC выросли на 13,8 % по сравнению с аналогичным периодом прошлого года. Операционная прибыль выросла на 3,3 %. В текущем году компания по-прежнему рассчитывает потратить $36 млрд на капитальные нужды типа строительства новых предприятий и модернизации старых, а во втором квартале рассчитывает выручить не более $16 млрд. Это слегка меньше ожиданий аналитиков, поэтому для инвесторов более важное значение имело расхождение выручки первого квартала с их прогнозами на $170 млн. Норму прибыли во втором квартале TSMC рассчитывает удержать в диапазоне от 52 до 54 %. Капитальные затраты компании в прошлом квартале не превысили $10 млрд.

Генеральный директор компании Си-Си Вэй (C.C. Wei) даже счёл возможным заявить следующее: «Мы минуем дно цикла для бизнеса TSMC во втором квартале». При этом он признал, что спрос на рынке ПК и смартфонов продолжает оставаться низким. По итогам всего текущего года выручка должна снизиться на 2‒5 %, в соответствии с ожиданиями аналитиков, а для самой компании это будет первое годовое снижение выручки с 2015 года. По итогам первого полугодия она может упасть на 10 % в долларовом выражении. Выручка во втором квартале сократится на 16 % в годовом сравнении. Примечательно, что в первом квартале доля выручки TSMC на китайском направлении выросла с 12 до 15 % в годовом сравнении, тогда как в Северной Америке она сократилась с 69 до 63 %. Коррекция складских запасов в отрасли, по мнению руководства TSMC, может продлиться до третьего квартала текущего года включительно.

 Источник изображения: TSMC

Источник изображения: TSMC

Несмотря на сокращение спроса в сегменте ПК и смартфонов, продукция, выпускаемая TSMC по передовым технологиям с нормами менее 10 нм, сообща формирует 51 % выручки компании. Конкретнее, 31 % выручки обеспечивается 5-нм изделиями, а оставшиеся 20 % приходятся на 7-нм техпроцесс. Год назад доля 5-нм компонентов в выручке TSMC не превышала 20 %, зато 7-нм изделия формировали 30 % выручки. По сути, за год эти техпроцессы поменялись местами. Примечательно, что выросла с 11 до 12 % доля 28-нм компонентов в совокупной выручке TSMC, это подразумевает сохранение высокого спроса на чипы, выпускаемые по зрелым техпроцессам. Одновременно выросла с 5 до 6 % и доля выручки от реализации 65-нм чипов.

 Источник изображения: TSMC

Источник изображения: TSMC

По платформам выручка распределилась следующим образом: 44 % обеспечивал сегмент высокопроизводительных вычислений, лишь 34 % пришлось на сегмент смартфонов, а ведь ещё год назад последний формировал 40 % выручки TSMC. Интернет вещей отвечал за 9 % выручки, автомобильный сектор оказался единственным, продемонстрировавшим последовательный рост по сравнению с четвёртым кварталом на 5 %, в итоге он теперь формирует 7 % совокупной выручки TSMC. Примечательно, что выручка TSMC в сегменте смартфонов последовательно просела сразу на 27 %, а сегмент высокопроизводительных решений отделался снижением на 14 %.

Поставщики TSMC поначалу не станут строить локальные предприятия в США и Германии

От руководства TSMC на апрельском квартальном мероприятии ждут откровений по поводу планов по строительству предприятия по выпуску чипов в Германии. Слухи приписывают компании намерения построить фабрику в окрестностях Дрездена. Поставщики компании даже якобы начали изучать соответствующий бизнес-план, но пока не торопятся строить поблизости свои предприятия.

 Источник изображения: UMC

Источник изображения: UMC

На первых порах, как поясняет издание Economic Daily, тайваньским подрядчикам TSMC будет выгоднее поставлять свою продукцию в США и Германию, а не сразу же строить локальное предприятие. Смысл в локализации производства появится после достижения определённых масштабов выпуска чипов, а до этого даже расходы на доставку компонентов с Тайваня не смогут склонить подрядчиков к локализации.

Поставщики недавно запросили у TSMC расчётную потребность в оборудовании и комплектующих, которые она собирается использовать на предприятии в Германии. Исходя из этих данных, каждая из сотрудничающих с TSMC компаний будет решать, есть ли смысл строить своё предприятие в Германии. В отношении строящихся предприятий в Аризоне такой анализ уже проведён, что отчасти позволяет тайваньским СМИ с некоторой уверенностью говорить о готовности TSMC построить своё предприятие в Германии.

Примечательно, что с поставщиками у TSMC уже сложилась своеобразная практика расчётов за логистику. Если продукция подрядчиков поставляется внутри Тайваня, то за доставку платят они сами. Если продукцию нужно поставлять за пределы острова, то эти расходы партнёрам TSMC компенсирует за свой счёт, даже если отправка продукции осуществляется по воздуху.

Мартовская выручка TSMC сократилась на 15,4 %, квартальная — на 5 %

Полный квартальный отчёт TSMC будет опубликован только на следующей неделе, а пока компания сообщила о снижении мартовской выручки на 15,4 % в годовом сравнении до $4,8 млрд в пересчёте по курсу. Это уже позволяет говорить о достижении квартальной выручкой уровня в $16,7 млрд, что ниже ожиданий аналитиков, как и в позапрошлом квартале. Одновременно TSMC пытается договориться с властями США относительно условий предоставления субсидий на строительство предприятий в Аризоне.

 Источник изображения: TSMC

Источник изображения: TSMC

По мнению представителей Bloomberg, которые уже подвели предварительные итоги первого квартала, соответствующие показатели говорят о сохранении кризисных тенденций в полупроводниковой отрасли без внятных сигналов улучшения. Это подтверждает и свежая статистика IDC, говорящая о снижении объёмов поставок ПК по итогам первого квартала на 29 % относительно аналогичного периода прошлого года. В четвёртом квартале прошлого года TSMC выручила почти $20 млрд, так что предварительная величина выручки в первом квартале говорит о снижении соответствующей суммы на $3,3 млрд (16,5 %). В годовом сравнении квартальная выручка TSMC должна снизиться на 5 %, если опираться на предварительные данные.

TSMC сократила заложенные в план на текущий год капитальные расходы с $36 млрд до $32 млрд, тогда как в прошлом году на модернизацию и расширение производственных мощностей компания потратила $36,3 млрд. В январе представители руководства компании заявили, что рассчитывают на снижение выручки по итогам первого полугодия на 5 или 9 %, но ожидают возвращения выручки к росту во втором полугодии.

Агентство Reuters накануне сообщило, что руководство TSMC ведёт переговоры с американскими чиновниками по поводу условий предоставления субсидий в США на строительство своих предприятий. В штате Аризона американская компания надеется возвести два предприятия по контрактному производству чипов, одно из которых уже в следующем году начнёт снабжать клиентов 5-нм изделиями. Бюджет строительства даже сейчас оценивается в $40 млрд, а в последующие годы может увеличиться, поэтому для TSMC важно понимать, на какие субсидии со стороны властей США компания может рассчитывать.

Ранее стало известно, что власти США накладывают на получателей субсидий много сопутствующих обязательств, от предоставления информации, составляющей коммерческую тайну, до запрета на существенное расширение производства в Китае на последующие десять лет. Кроме того, чиновники готовы изымать у компаний, получивших субсидии, излишки прибыли. Подобные условия явно беспокоят TSMC, поскольку она рассчитывает частично покрыть свои расходы на строительство предприятий в Аризоне за счёт государственных субсидий.

Intel удержала звание самого дорогого бренда среди производителей чипов, несмотря на спад — TSMC поджимает

Компания Intel в 2022 году сохранила за собой звание самого дорогого бренда в полупроводниковой отрасли. Правда, занявшая второе место в рейтинге TSMC лишь незначительно уступила американскому гиганту. Таковы результаты исследования, проведённого аналитиками Brand Finance.

По итогам 2022 года бренд Intel подешевел на 10 % до отметки в $22,9 млрд, тогда как TSMC прибавила в цене 5 % и достигла $21,6 млрд. Третьей стала NVIDIA, которую оценили в $16,9 млрд. В десятку также вошли SK hynix, Qualcomm, Broadcom, AMD, ASML, Micron и Texas Instruments.

Авторы доклада отмечают, что бренд Intel ориентирован на потребительский рынок — компания поставляет комплектующие для ноутбуков и десктопов, хотя имеет также сильные позиции в серверном и промышленном сегментах. Тем временем TSMC является брендом формата B2B — тайваньский контрактный производитель выпускает продукцию для Apple, AMD, NVIDIA и других компаний.

Самый быстрый рост в полупроводниковой отрасли по итогам 2022 года продемонстрировал бренд американской Broadcom, который подорожал сразу на 29 % до $8,2 млрд. Своим успехом компания, по мнению аналитиков, обязана растущему спросу на сетевое оборудование и компоненты для передачи данных. Второй по темпам роста оказалась американская же Analog Devices — бренд дебютировал в рейтинге, подорожав на 21 % до $1,9 млрд.

Залогом успеха для производителей полупроводников является поддержание технологического превосходства, забота об эффективности производства и, конечно, хорошие отношения с заинтересованными в их продукции правительствами, считает директор по оценке Brand Finance Алекс Хей (Alex Haigh).

Intel зарезервировала мощности тайваньской TSMC для производства будущих поколений GPU Battlemage и Celestial

Тайваньский контрактный производитель чипов TSMC получил несколько крупных заказов от компании Intel на производство графических процессоров для видеокарт двух будущих поколений — Battlemage и Celestial. Об этом сообщило издание Commercial Times.

 Источник изображения: Intel

Источник изображения: Intel

Второе поколение графики Intel Arc, имеющее кодовое обозначение Battlemage, будет использовать 4-нм техпроцесс производства для GPU. По данным инсайдеров индустрии, TSMC и Intel готовятся к старту производства графических процессоров на архитектуре Xe2 во второй половине 2024 года. По данным тех же источников, производство третьего поколения графики, Arc Celestial, на архитектуре Xe3, будет осуществляться с использованием техпроцесса TSMC N3X (класс 3 нм).

 Источник изображения: Commercial Times

Источник изображения: Commercial Times

Несмотря на уход главы графического подразделения Раджи Кодури, компания планирует продолжать развивать направление дискретной игровой графики. Intel видит высокий спрос на видеокарты со стороны геймеров и бизнеса, сообщает Commercial Times.

По данным инсайдеров, в конце 2023 года Intel выпусти обновлённые модели видеокарт Arc Alchemist+.

Выпуск 4-нм и 5-нм чипов уже приносит TSMC больше, чем 6-нм и 7-нм — это поможет не уронить выручку в 2023 году

По данным DigiTimes, в прошлом году выпуск 4- и 5-нм продукции принёс TSMC около $19,4 млрд, а в этом году профильная выручка компании может вырасти на $3,6 млрд. В какой-то степени это позволит компенсировать снижение выручки от реализации 7-нм и 6-нм продукции, а также удержать совокупную выручку компании по итогам года примерно на уровне прошлого ($76 млрд).

 Источник изображения: NVIDIA

Источник изображения: NVIDIA

С точки зрения выручки, как поясняют тайваньские источники, 5-нм и 4-нм техпроцессы уже приносят TSMC больше денег, чем 7-нм и 6-нм, поскольку одна кремниевая пластина с 5-нм чипами стоит примерно на $5000 дороже. По приблизительным оценкам, одна пластина с 5-нм или 4-нм продукцией стоит $15 000, и профильную выручку серьёзно двигают вверх заказы NVIDIA на выпуск ускорителей вычислений A100 и H100, а также их усечённых вариантов для китайских клиентов — A800 и H800.

На предприятии Fab 15B, где TSMC выпускает 7-нм и 6-нм продукцию, степень загрузки конвейера сейчас ниже 40 %, и она будет расти только во втором полугодии, поскольку сейчас профильной продукцией затоварены склады многих клиентов. В случае с 28-нм продукцией ситуация заметно лучше. Во втором квартале степень загрузки профильного предприятия Fab 15A достигнет 85‒90 %, а во втором полугодии имеет шансы вернуться к 100 %.

Вообще, в удержании годовой выручки TSMC на прошлогоднем уровне большую роль будут играть именно зрелые техпроцессы типа 12, 16, 22 и 28 нм. В совокупности они обеспечивают до 20 % всей выручки компании, и если спрос на профильную продукцию во втором квартале будет стабилен, то TSMC удастся завершить год без снижения совокупной выручки.

Например, предприятие Fab 14B, которое преимущественно выпускает 16-нм и 12-нм чипы, с третьего квартала увеличит степень загрузки конвейера до 80 с лишним процентов. Если же говорить о наиболее продвинутой литографии, то во втором полугодии TSMC перейдёт на массовый выпуск продукции по новейшему техпроцессу N3E, и к концу года доля выручки от техпроцессов этой серии достигнет 5 %, чтобы в 2024 году увеличиться ещё сильнее.

Задача 5-нм техпроцесса в этом году будет заключаться в удержании нормы прибыли TSMC на уровне 53 %. Как поясняют тайваньские источники, это семейство технологий уже достигло стабильной фазы жизненного цикла и сейчас является для компании своего рода «курицей, несущей золотые яйца». Прирост профильной выручки на $3,6 млрд по итогам года нельзя игнорировать в таких сложных экономических условиях.

Готовность TSMC построить предприятие в Германии будет зависеть от наличия субсидий

Неофициальные источники продолжают настаивать на том, что местом для строительства предприятия TSMC в Европе станет восточная часть Германии, хотя руководство компании в январе говорило о заинтересованности в регионе в более общих терминах. Теперь осведомлённые источники сообщают, что TSMC и власти Саксонии продвинулись в переговорах о строительстве предприятия в окрестностях Дрездена, и сейчас ведётся обсуждение размера и источника субсидий.

 Источник изображения: TSMC

Источник изображения: TSMC

По данным Reuters, переговоры между властями Саксонии и руководством TSMC находятся в продвинутой фазе, делегация этой немецкой земли даже посетила Тайвань для обсуждения данного вопроса. Власти Германии и самой Саксонии хотели бы предоставить TSMC необходимые субсидии, но в этом вопросе они должны полагаться и на поддержку Евросоюза. Саксонские чиновники обсуждали политику субсидирования на встрече с главой Еврокомиссии Урсулой фон дер Ляйен (Ursula von der Leyen) шестого марта в Брюсселе.

Источники подтвердили, что будущее предприятие TSMC в Германии в случае своего появления сосредоточится на зрелой литографии, которая в большей степени востребована европейским автопромом, чем передовые техпроцессы. Подобная специализация не только будет лучшим образом соответствовать интересам местной экономики, но и потребует меньших инвестиций в сравнении с передовым предприятием. Подобный проект TSMC уже реализует в Японии при участии Denso, Sony и японского правительства. Поставляющая автокомпоненты на конвейер Toyota японская корпорация Denso заинтересована в получении 12-нм продукции, наверняка подобные технологические запросы предъявляют к будущему предприятию TSMC и европейские автопроизводители.

Проекты по созданию полупроводниковых заводов в США могут обернуться для TSMC убытками

Основатель TSMC Моррис Чан (Morris Chang) уже не раз заявлял, что производство чипов на территории США будет раза в полтора дороже, чем на Тайване. Рост затрат компании на строительство новых предприятий в Аризоне в сочетании с инфляцией делает весь этот проект потенциально убыточным, как поясняют поставщики литографического оборудования, на которых ссылается DigiTimes.

 Источник изображения: SMIC

Источник изображения: SMIC

По данным источника, TSMC отстаёт от графика строительства своих предприятий в Аризоне, ей не хватает рабочих рук сейчас и квалифицированных кадров в будущем, а монтаж оборудования для производства чипов будет осуществляться с опозданием. По сути, как считают источники, TSMC вряд ли сможет наладить выпуск продукции в Аризоне до конца 2024 года в массовых количествах.

Другой проблемой является прибыльность данного проекта, ибо TSMC вряд ли сможет в полной мере перенести рост затрат на своих подрядчиков и клиентов. Компании пришлось поднять цены на свои услуги на 6 % с начала текущего года, и если американские предприятия дополнительно повысят себестоимость продукции, то TSMC будет сложно привлекать на свои американские предприятия заказчиков, не имеющих отношения к местной оборонной промышленности. Государственные субсидии на строительство новых предприятий изобилуют не самыми выгодными для TSMC условиями. Их получение блокирует возможность инвестировать в китайскую экономику, а излишками прибыли придётся делиться с властями США. Если же проект так и не выйдет на установленные заранее показатели, то субсидии придётся вернуть в полном объёме.

Напомним, TSMC рассчитывает к концу 2024 года наладить в Аризоне контрактный выпуск 5-нм и 4-нм продукции, а к 2026 году собирается запустить производство 3-нм продукции. Обе фазы проекта потребуют на свою реализацию $40 млрд, и даже приоритетное распределение субсидий властями США не сможет оправдать тех дополнительных затрат, которые TSMC понесёт в связи с локализацией производства передовых чипов в Аризоне. Компании придётся поддерживать уровень загрузки конвейера в 70‒80 %, чтобы сохранить желаемую прибыльность предприятий в Аризоне, но высокие затраты вряд ли удастся в полной мере компенсировать высокими ценами на свои услуги. Впрочем, пока руководство TSMC выражает уверенность, что даже реализация американского проекта позволит ей в долгосрочной перспективе удержать норму прибыли на уровне 53 %.

Сингапур намерен привлечь на свою территорию TSMC щедрыми субсидиями

По данным некоторых источников, TSMC пока не определилась с планами по строительству предприятия в Европе, поскольку её беспокоят рост цен на энергоносители и инфляция, но власти Сингапура пытаются привлечь эту тайваньскую компанию на свою землю, предлагая щедрые инфраструктурные субсидии. Конкурирующая VIS уже располагает предприятием в Сингапуре, которое досталось ей от GlobalFoundries.

 Источник изображения: NXP Semiconductors

Источник изображения: NXP Semiconductors

Об этом сообщает DigiTimes со ссылкой на традиционные отраслевые источники. По их данным, власти Сингапура агитируют TSMC построить в этом крохотном государстве предприятие по обработке кремниевых пластин типоразмера 300 мм. В качестве факторов, обеспечивающих привлекательность Сингапура в качестве места для строительства предприятия, власти страны готовы предоставлять бесплатные земельные участки, доступ к водным и энергетическим ресурсам, а также налоговые льготы и достаточно развитый рынок труда.

В Сингапуре уже расположены региональные офисы Infineon и ещё нескольких европейских разработчиков полупроводниковых компонентов для автомобильной промышленности. Если эти клиенты договорятся с TSMC о получении своих заказов в данном регионе, то у компании возникнет дополнительный стимул построить своё предприятие именно в Сингапуре, а не в Европе.

Фактически, TSMC присутствует в Сингапуре с 1998 года через совместное предприятие с NXP Semiconductors и EDB Investments. В 2006 году NXP и TSMC выкупили у третьего партнёра его долю, и теперь совместно выпускают на местном предприятии продукцию с использованием кремниевых пластин типоразмера 200 мм. У компании Vanguard International Semiconductor (VIS) в Сингапуре уже есть предприятие аналогичного типа, но теперь она рассматривает возможность строительства ещё одного, которое сможет выпускать чипы из кремниевых пластин типоразмера 300 мм.


window-new
Soft
Hard
Тренды 🔥