Сегодня 30 сентября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → tsmc
Быстрый переход

TSMC в августе решит, строить ли фабрику 28-нм чипов в Германии

Представители TSMC до сих пор неохотно комментировали слухи о намерениях построить предприятие в Германии, предпочитая упоминать Европу укрупнённо. На этой неделе старший вице-президент компании Кевин Чжан (Kevin Zhang) заявил, что окончательное решение будет принято советом директоров в августе, и на первых порах предприятие в Дрездене будет специализироваться на выпуске чипов по 28-нм технологии.

 Источник изображения: TSMC

Источник изображения: TSMC

По его словам, на которые ссылается Bloomberg, данные технологические нормы востребованы местными клиентами TSMC, которые ориентируются на поставки автокомпонентов, и в будущем немецкое предприятие может перейти на использование более совершенных техпроцессов. Судьбу этого проекта уже в августе текущего года должен окончательно решить совет директоров TSMC.

По информации неофициальных источников, руководство TSMC ведёт переговоры с европейскими властями о предоставлении субсидий, покрывающих до 50 % затрат на строительство предприятия в Германии, тогда как обычный порог для подобных проектов не превышает 40 %. К финансированию строительства предприятия могут быть привлечены и партнёры TSMC, к коим относятся Bosch, NXP Semiconductors и Infineon Technologies. По предварительным данным, строительство предприятия в Германии потребует до €10 млрд инвестиций. Соответственно, половину этой суммы при удачном исходе переговоров предоставят власти Евросоюза и Германии.

Высокий спрос на ускорители вычислений NVIDIA помогает TSMC поднять загрузку линий по выпуску 5-нм чипов

Вчерашний квартальный отчёт NVIDIA продемонстрировал рост выручки компании в сегменте центров обработки данных на 14 % в годовом сравнении, но больше всего инвесторов воодушевили ожидания руководства по поводу роста выручки NVIDIA до $11 млрд в текущем квартале. Как отмечают тайваньские источники, срочные заказы NVIDIA помогли TSMC поднять степень загрузки линий, связанных с выпуском 4- и 5-нм продукции.

 Источник изображения: NVIDIA

Источник изображения: NVIDIA

В классификации TSMC техпроцессы сгруппированы по принадлежности к семействам литографии следующим образом: 7 нм соседствуют с 6 нм, а 5 нм и 4 нм объединены в одну группу. Как сообщает тайваньское издание DigiTimes, срочные заказы NVIDIA на изготовление ускорителей вычислений по техпроцессам 5-нм семейства уже подняли степень загрузки конвейера TSMC почти до 100 %. Как известно, с конца прошлого года тайваньский контрактный производитель страдал от низкого спроса на 5-нм и 7-нм продукцию. Компания даже была вынуждена на $4 млрд сократить сумму капитальных затрат. Ажиотаж в отношении компонентов для систем искусственного интеллекта в значительной мере позволил решить эту проблему, по данным тайваньских источников.

По информации DigiTimes, компания NVIDIA в срочном порядке размещает заказы на выпуск ускорителей H100 и A100, а также их адаптированных для Китая вариантов H800 и A800, и сейчас профильные производственные мощности TSMC буквально законтрактованы до конца текущего года. Китайские клиенты NVIDIA типа той же Baidu демонстрируют высокий спрос на чипы H800 и A800, которые им доступны после введения санкций США. Столь резкий скачок спроса на продукцию NVIDIA стал сюрпризом даже для руководства TSMC, которое в большей степени рассчитывало на продукцию Apple в качестве «катализатора» выхода из кризиса перепроизводства. Не исключено, что на июльском мероприятии для инвесторов руководство TSMC пересмотрит прогноз по выручке на текущий год в сторону улучшения.

TSMC уже создала рабочие транзисторы CFET, но до их массового производства ещё очень далеко

Выступая на европейском технологическом симпозиуме представитель TSMC заявил, что в лабораториях компании уже есть рабочие микросхемы с транзисторами CFET или комплементарными FET (Сomplementary FET). Однако до выхода технологии на рынок ещё очень далеко. CFET находятся на очень ранней стадии разработки и до их массового производства на рынке ещё успеют появиться несколько поколений других типов транзисторов.

 Источник изображения: TSMC

Источник изображения: TSMC

Перед CFET ожидается выход GAA-транзисторов с круговым затвором, которые TSMC собирается представить с грядущим 2-нм технологическим процессом N2. CFET-транзисторы станут идейными наследниками GAAFET и предложат преимущества над GAAFET и FinFET в аспектах энергоэффективности, производительности и плотности размещения транзисторов. Однако все эти преимущества — пока лишь теория, которая зависит от того, смогут ли инженеры преодолеть значительные технологических сложности, связанные не только с производством, но самой разработкой этого типа транзисторов.

В частности, как отмечает TSMC, для производства транзисторов CFET потребуется применение чрезвычайно точных инструментов литографии для возможности интеграции в конструкцию транзистора и расположения рядом друг с другом полупроводниковых элементов n-типа и p-типа, а также применение максимально качественных материалов, обладающих необходимыми электрическими свойствами.

Как и любой производитель чипов, компания TSMC ведёт разработку и исследование разных типов транзисторов. И те же CFET разрабатывает не только она одна. Этот вопрос также исследует, например, компания Intel. Однако TSMC первой сообщила, что получила в лабораторных условиях работающие CFET. Теперь задача компании состоит в том, чтобы понять, как эти транзисторы вывести на массовое производство. По словам тайваньского контрактного производителя чипов, случится это точно не в ближайшем будущем.

«Позвольте мне прояснить то, что изображено на нашей дорожной карте. Всё, что находится далее нанолистов — это вопрос далёкого будущего. Мы продолжаем работу по нескольким направлениям. Я также хотел бы добавить по поводу одномерных транзисторов <…> Сейчас все [типы транзисторов] исследуются на предмет возможности стать кандидатом на будущее производство, однако мы не можем точно сказать, какая именно архитектура транзисторов будет использоваться после нанолистов», — прокомментировал вице-президент по вопросам технологического развития TSMC Кевин Чжан.

По словам Чжана, TSMC в течение нескольких лет планирует использование GAA-транзисторов для производства чипов. «Применение нанолистов начнётся с 2 нм. Логично предположить, что нанолисты будут использоваться как минимум в течение пары последующих поколений. Поэтому если говорить о CFET… мы использовали те же FinFET в течение пяти поколений, то есть более 10 лет», — добавил он.

NVIDIA, TSMC, MediaTek и другие полупроводниковые компании ускорили разработки с помощью ИИ

Поскольку проектирование микросхем становится все более дорогостоящим и длительным, разработчики и производители микросхем обращаются к искусственному интеллекту, чтобы оптимизировать свои расходы и ускорить вывод продукции на рынок. К настоящему времени разработано более 200 проектов микросхем с помощью программного обеспечения Synopsys DSO.ai для автоматизации электронного проектирования (EDA), и их число быстро растёт.

 Источник изображения: Amedac

Источник изображения: Amedac

«К концу 2022 года 9 из 10 крупнейших поставщиков полупроводников стремительно продвинутся вперёд, выпустив 100 коммерческих проектов с использованием ИИ, — заявил Аарт Дж. де Геус (Aart J. de Geus), исполнительный директор Synopsys, на последнем собрании акционеров. — Сегодня их число превышает 200 и продолжает расти очень быстрыми темпами по мере того, как отрасль широко внедряет ИИ для проектирования от Synopsys».

Растущая сложность чипов требует от разработчиков применения новейших техпроцессов, чтобы сделать их конкурентноспособными, поэтому стоимость разработки и производства стремительно растёт. Для создания чипа средней сложности, изготовленного по 7-нм техпроцессу, необходимо около 300 миллионов долларов, причём почти 40 % этой стоимости придётся на программное обеспечение. По данным International Business Strategies (IBS), стоимость разработки продвинутого 5-нм чипа превышает 540 миллионов долларов, включая затраты на программное обеспечение, тогда как цена для аналогичного по сложности 3-нм GPU составит примерно в 1,5 млрд долларов, где на программное обеспечение также уйдёт около 40 %.

В начале этого года компания Synopsys объявила о выпуске полного набора инструментов для проектирования с помощью искусственного интеллекта. «Мы представили первый в отрасли полный стек EDA-пакета, управляемого искусственным интеллектом, sydnopsys.ai. Кроме того, мы распространяем ИИ на весь стек проектирования, включая проектирование и производство аналоговых устройств» — сказал де Геус. Практически все крупные чипмейкеры сейчас используют инструменты EDA с поддержкой ИИ, хотя не все готовы подтвердить это.

«Среди партнёров по анонсу — NVIDIA, TSMC, MediaTek, Renesas и IBM Research. Все они представили потрясающие примеры использования Synopsys.ai для достижения прорывных результатов», — добавил де Геус.

Великобритания будет сотрудничать с Японией в производстве чипов, чтобы снизить зависимость от чипов из Тайваня

Премьер-министр Великобритании Риши Сунак (Rishi Sunak) объявил перед саммитом G7 о двустороннем «полупроводниковом партнёрстве» с Японией, направленном на повышение устойчивости цепочки поставок на фоне опасений по поводу возможных трудностей с производством чипов на Тайване. Также было объявлено о планах инвестиций Японией 18 млрд фунтов ($22,5 млрд) в британские компании, занимающиеся чистой энергетикой.

 Источник изображения: pixabay

Источник изображения: pixabay

«Хиросимское соглашение» направлено на углубление сотрудничества в области экономики, безопасности и технологий между Великобританией и Японией, напомнил Сунак сегодня в Японии перед саммитом Большой семёрки. Стресс-тестирование и укрепление цепочек поставок являются ключевой целью лидеров после обострения ситуации на Украине и пандемии COVID-19, при этом на повестке дня стоит вопрос о зависимости от чипов из Тайваня. В пятницу Великобритания представит свою стратегию в области полупроводников. Сунак сказал, что вместе с премьер-министром Японии Фумио Кисидой (Fumio Kishida) они «тесно сотрудничают в вопросе важности защиты мира и безопасности в Индо-Тихоокеанском регионе и защиты наших ценностей, включая свободную и справедливую торговлю».

Японская торговая компания Marubeni Corporation и её партнёры намерены инвестировать около 10 млрд фунтов стерлингов (12 млрд долларов) в Великобританию в течение следующего десятилетия, в основном в морскую ветроэнергетику, говорится в заявлении офиса премьер-министра Великобритании. Sumitomo Corporation вложит около 4 млрд фунтов стерлингов (4,9 млрд долларов) в морские проекты ветроэнергетики у берегов Саффолка и Норфолка, а Mitsubishi Estate и Mitsui Fudosan инвестируют 3,5 млрд фунтов стерлингов (4.3 млрд долларов) в строительство доступного жилья и офисных помещений, говорится в заявлении.

Между тем, британская энергетическая компания Octopus Energy заявила, что до 2027 года инвестирует 1,5 млрд фунтов стерлингов (1,8 млрд долларов) в энергетический рынок Азиатско-Тихоокеанского региона.

Intel намерена составить конкуренцию TSMC не только в контрактном производстве, но и в технологиях упаковки чипов

Тайваньская компания TSMC за тридцать с лишним лет своего существования смогла превратиться в крупнейшего контрактного производителя чипов в мире, контролирующего более половины рынка. Не секрет, что действующее руководство Intel намеревается оспорить этот статус — по крайней мере, на технологическом уровне. Как выясняется, у Intel есть свои амбиции и на рынке услуг по упаковке чипов.

 Источник изображения: Intel

Источник изображения: Intel

TSMC не только обрабатывает кремниевые пластины, формируя на них отдельные кристаллы с системной логикой и прочими компонентами, она оказывает клиентам услуги по сложной пространственной компоновке готовых изделий с их последующим тестированием. Подобная интеграция производственных процессов позволяет клиентам TSMC получать сразу несколько услуг от одной компании с неизменно высоким качеством результата.

Как сообщает Barron’s, на этой неделе представитель подразделения Intel Foundry Services Марк Гарднер (Mark Gardner) рассказал о готовности компании предоставлять своим клиентам широкий спектр услуг по тестированию и упаковке компонентов, даже если при этом производством кристаллов для них занимаются конкурирующие компании. В частности, предприятие Intel в штате Нью-Мексико будет развивать соответствующие компетенции и предлагать подобные услуги. Преимущество Intel, по словам представителя компании, заключается в географическом распределении предприятий, тогда как у TSMC они сосредоточены на Тайване, уязвимость которого начинает беспокоить всё большее количество участников рынка в свете роста напряжённости отношений между США и КНР.

Компания Intel располагает и достаточно серьёзным исследовательским потенциалом в сфере совершенствования технологий упаковки чипов. Сейчас она рассматривает переход на использование более жёсткой стеклянной подложки, а также внедряет технологию интеграции оптических интерфейсов, которые позволяют существенно поднять скорость обмена данными. На производстве она будет внедрена к концу следующего года.

По словам представителя Intel, компания позволит клиентам свободно выбирать перечень услуг по упаковке и тестированию чипов, которыми они смогут воспользоваться. Как уже отмечалось ранее, на контрактном направлении Intel ведёт переговоры с семью из десяти крупнейших разработчиков, не располагающих собственными производственными мощностями, а Cisco и Amazon уже стали её клиентами.

NXP и TSMC выпустят первую в отрасли высокоскоростную 16-нм память MRAM для автомобилей

Полупроводниковая компания NXP Semiconductors сообщила о сотрудничестве с тайваньским контрактным производителем микросхем TSMC в сфере выпуска магниторезистивной оперативной памяти (MRAM) для применения в автомобилях, которая впервые в данной сфере будет построена на 16-нм техпроцессе FinFET.

 Источник изображения: NXP Semiconductors

Источник изображения: NXP Semiconductors

Поскольку автопроизводители активно наращивают выпуск программно-конфигурируемых моделей авто, существует необходимость в поддержке выпуска нескольких поколений программных обновлений для одной аппаратной платформы. В NXP Semiconductors считают, что их процессоры S32 в сочетании с быстрой высоконадёжной энергонезависимой оперативной памятью следующего поколения, производимой по 16-нм технологии FinFET, представляют собой идеальную аппаратную платформу для таких задач.

По словам NXP Semiconductors, память MRAM способна обновить 20 Мбайт программного кода примерно за 3 секунды. Для сравнения, флеш-памяти требуется порядка одной минуты для выполнения той же задачи. Таким образом, использование MRAM-памяти сводит к минимуму время простоя, связанное с обновлением программного обеспечения, и позволяет автопроизводителям устранять узкие места, возникающие из-за длительного времени программирования модулей. Кроме того, MRAM-память способна обеспечить до миллиона циклов перезаписи и её уровень надёжности в 10 раз выше, чем у флеш-памяти и других новых технологий памяти.

Программные OTA-обновления позволяют автопроизводителям расширять функциональность своих автомобильных линеек, повышая комфорт, безопасность и удобство их использования владельцами. Поскольку практика оснащения автомобилей программными функциями становится все более распространённой, частота выпуска обновлений этих функций будет только увеличиваться. На фоне этого скорость и надёжность памяти MRAM станут ещё более важными, отмечают в NXP Semiconductors.

Технология встраиваемой MRAM-памяти на основе 16-нм техпроцесса FinFET компании TSMC значительно превосходит все требования, диктуемые сферой автомобилестроения. Она поддерживает технологию пайки расплавлением дозированного припоя, выдерживает до миллиона циклов перезаписи, а также способна обеспечить сохранение записанных на неё данных в течение 20 лет при экстремальной температуре до 150 градусов Цельсия.

Samsung увеличивает расходы на расширение контрактного производства, а TSMC сокращает

Ещё в прошлом году ведущий мировой контрактный производитель чипов TSMC был вынужден сократить капитальные затраты на 10 % из-за снижения спроса на ряд 7-нм и 5-нм компонентов. В текущем году эти расходы могут сократиться ещё на 12 %, а вот конкурирующая Samsung Electronics в первом квартале увеличила капитальные затраты на 24 % против 6 % у конкурента.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Подобную информацию приводит издание Business Korea со ссылкой на данные квартальных отчётов обеих компаний. В первом квартале текущего года Samsung Electronics увеличила капитальные затраты на 24 % в годовом сравнении до $7,4 млрд. Это стало сезонным рекордом для компании. По данным отраслевых источников, сокращение инвестиций Samsung в расширение мощностей по производству памяти более чем компенсировалось увеличением расходов на контрактном направлении. К четвёртому кварталу текущего года Samsung запустит новые линии по контрактному выпуску чипов на своём предприятии в Пхёнтхэке. Пилотная продукция сойдёт с новой линии конвейера уже в этом месяце.

Компания TSMC за первые три месяца текущего года потратила на расширение своих производственных мощностей и их переоснащение не более $9,94 млрд, что всего лишь на 6 % больше расходов в аналогичном периоде прошлого года. Конечно, Samsung пока отстаёт от TSMC по величине капитальных затрат, но руководство южнокорейского гиганта уверено, что через пять лет ему удастся обогнать лидера отрасли.

NVIDIA увеличила заказы на графические процессоры для ИИ на фоне огромного спроса

NVIDIA увеличила заказы на производство чипов на мощностях TSMC на фоне растущего спроса на ускорители вычислений на базе GPU, предназначенные для приложений ИИ. Похоже, что NVIDIA настолько оптимистично оценивает спрос на свои GPU с упаковкой CoWoS (чип на пластине на подложке), что разместила дополнительные заказы на весь год.

 Источник изображения: NVIDIA

Источник изображения: NVIDIA

Сообщается, что TSMC обязалась выпустить дополнительно 10 000 пластин с упаковкой CoWoS для NVIDIA в течение 2023 года, чтобы удовлетворить растущий спрос на широко используемые чипы. По оценкам, это означает дополнительный выпуск от 1000 до 2000 полупроводниковых пластин каждый месяц в течение оставшейся части года. В статье не раскрывается, производство каких графических процессоров NVIDIA планирует увеличить. Ежемесячная мощность производства решений в упаковке CoWoS у TSMC составляет от 8000 до 9000 пластин, поэтому предоставление NVIDIA дополнительных 1000–2000 пластин в месяц ощутимо повысит коэффициент использования передовых упаковочных мощностей производства микросхем. В результате другие компании отрасли могут пострадать от нехватки услуг CoWoS.

Благодаря широкому внедрению платформы NVIDIA CUDA для ИИ и других высокопроизводительных рабочих нагрузок десятки крупных клиентов полагаются на оборудование компании для запуска своих приложений ИИ. Буквально вчера Google анонсировала свой новый суперкомпьютер A3 на базе 26 тыс. ускорителей NVIDIA H100 с производительностью в ИИ-задачах 26 экзафлопс. Между тем, Microsoft, Oracle и даже кампания предстоящего проекта искусственного интеллекта Илона Маска (Elon Musk) за последние кварталы закупили десятки тысяч графических процессоров NVIDIA для искусственного интеллекта.

Примечательно, что NVIDIA увеличивает заказы на графические процессоры даже после того, как потеряла возможность поставлять свои самые мощные ускорители китайским организациям без разрешения правительства США. Вероятно, китайские компании готовы покупать менее мощные ускорители A800 и H800, либо спрос со стороны американских, европейских и японских компаний компенсирует снижение поставок в Китай.

Активисты призывают TSMC перейти на возобновляемые источники энергии к 2030 году

Нейтральности в сфере углеродных выбросов компания TSMC стремится достичь только к 2050 году, при этом уже к концу текущего десятилетия энергопотребление её предприятий в совокупности утроится до уровня, соответствующего потребностям 5,8 млн человек. Активисты настаивают, что на возобновляемые источники энергии компания должна перейти к 2030 году.

 Источник изображения: AFP

Источник изображения: AFP

Группа неправительственных организаций, как сообщает South China Morning Post, выступила с обращением к руководству TSMC, призвав крупнейшего в мире контрактного производителя полупроводниковых компонентов решительнее переходить на использование возобновляемых источников энергии и сокращение углеродного следа. Перейти к углеродной нейтральности компании, по мнению экозащитников, следует завершить на двадцать лет раньше цели, уже к 2030 году.

Согласно прогнозам, мировая полупроводниковая промышленность к 2030 году будет потреблять не менее 286 ТВт·ч электроэнергии, более чем в два раза больше относительно 2021 года, а по уровню выбросов в эквиваленте углекислого газа к тому времени в два раза обойдёт Португалию с её 40,8 млн тонн по состоянию на 2021 год. Непосредственно TSMC в 2021 году только 9 % всей энергии получала из возобновляемых источников, и к концу десятилетия компания будет потреблять столько электричества, что хватило бы на обеспечение нужд четверти населения Тайваня. В принципе, в последние годы периоды засухи и аномальной жары на острове уже обнажали проблемы отрасли в виде нехватки водных ресурсов и электричества.

TSMC заявила, что уделяет особое внимание переходу на возобновляемые источники энергии, ещё в 2020 году утвердив программу перехода к углеродной нейтральности к 2050 году. Компания заключила крупнейшее в мире соглашение с датской энергетической компанией Orsted на приобретение 920 МВт·ч электроэнергии, полученной из возобновляемых источников, на протяжении следующих двадцати лет. С тайваньской Ark Power у неё заключено соглашение на покупку 20 000 ГВт·ч электроэнергии из возобновляемых источников сроком на двадцать лет. Пока компания не собирается приближать переход к углеродной нейтральности, рассчитывая осуществить его лишь в 2050 году.

Выпускаемые в США чипы TSMC будут дороже тайваньских на 20–30 % — Samsung может извлечь из этого выгоду

Тайваньская TSMC — главный контрактный производитель полупроводниковой продукции в мире, — намерен продавать чипы, выпущенные на заводах компании в США, по цене до 30 % выше, чем те, что выпускаются на Тайване. Об этом сообщает издание Business Korea. Не исключено, что главный конкурент в лице Samsung Electronics сможет этим воспользоваться.

 Источник изображения: TSMC

Источник изображения: TSMC

Как сообщили отраслевые источники издания, TSMC уже начала переговоры с клиентами о ценообразовании для продуктов, производство которых должно начаться в конце 2024 года в США и Японии. По данным некоторых аналитиков, 4-нм (N4) и 5-нм (N5) чипы, выпущенные в США, будут стоить на 20–30 % дороже тех, что производятся на Тайване.

В TSMC неоднократно подчёркивали, что строительство и эксплуатация производств за пределами Тайваня намного более затратна, чем на территории острова. В апреле основатель TSMC Моррис Чанг (Morris Chang), заявил, что стоимость полупроводников в США будет вдвое выше, чем на Тайване. Ранее он прогнозировал, что цена будет выше на 50 % и в целом назвал идею США нарастить местное производство чипов «невыгодной, очень дорогой и бесполезной инициативой». При этом компания строит в Соединённых Штатах уже второй завод.

По данным группы U.S. Semiconductor Industry Association (SIA), в ближайшие годы заводы в США будет на 30 % дороже строить и эксплуатировать, чем заводы на Тайване, в Южной Корее и Сингапуре, а в сравнении с китайскими фабриками цена будет выше на 37–50 %.

В результате TSMC возложит лишние издержки на клиентов американских заводов, чтобы сохранить норму валовой прибыли на уровне 53 %. Впрочем, компания, похоже, будет гибко подходить к вопросам ценообразования для отдельных продуктовых сегментов.

Всё это усложняет принятие решений для Samsung. С одной стороны, компания, вероятно, будет склоняться к повышению цен на чипы из-за растущей стоимости строительства заводов в США, с другой — она является вторым по величине контрактным производителем полупроводников в мире и увеличение цен TSMC позволит переманить клиентов у конкурента, но только при сохранении низких цен Samsung.

TSMC намерена построить в Германии фабрику чипов за €10 миллиардов

Крупнейший контрактный производитель микросхем Taiwan Semiconductor Manufacturing Co. (TSMC) вступил в переговоры с партнёрами на предмет строительства завода по производству микросхем в Саксонии (ФРГ) стоимостью €10 млрд, пишет Bloomberg со ссылкой на собственные источники.

 Источник изображения: tsmc.com

Источник изображения: tsmc.com

Совместное предприятие тайваньской TSMC, нидерландской NXP Semiconductors, а также немецких Bosch и Infineon предполагает выделение государственных субсидий при бюджете не менее €7 млрд, а общий объём инвестиций, возможно, достигнет €10 млрд. Окончательное решение ещё не принято, и планы могут поменяться, утверждает анонимный источник агентства. Представитель TSMC Нина Као (Nina Kao) подтвердила, что компания оценивает возможность строительства завода в Европе. В NXP, Bosch, Infineon и Федеральном министерстве экономики и защиты климата Германии от комментариев отказались.

Ещё в 2021 году председатель совета директоров TSMC Марк Лю (Mark Liu) рассказал акционерам, что компания оценивает возможность запуска производственных операций в Германии. Европейский завод компании будет ориентирован на выпуск автомобильных микросхем, уточнил впоследствии её глава Си-Си Вэй (C.C. Wei). Подобные проекты в Германии могут субсидироваться государством до доли в 40 % — к 2030 году Евросоюз планирует вдвое нарастить свою долю в глобальной полупроводниковой отрасли. Решение было принято на фоне дефицита полупроводников в пандемийную эпоху, а также на фоне обострения отношения США и КНР.

Государственное участие в проекте потребует его прямого утверждения в Еврокомиссии — совместное предприятие уже вступило в переговоры о размере субсидий. В Японии аналогичный проект может обойтись в $8,6 млрд, и около половины этой суммы может выделить правительство. TSMC рассчитывает получить одобрение немецкого проекта в августе — на заводе будут преимущественно выпускаться чипы по технологии 28 нм.

Ранее стало известно, что стартовало строительство завода Infineon по производству полупроводниковой продукции в саксонском Дрездене. Здесь также расположены аналогичные предприятия GlobalFoundries и Bosch. Производители микросхем традиционно возводят заводы в кластерах, что позволяет им задействовать существующие инфраструктуру и кадровые ресурсы.

На строящемся заводе TSMC произошёл пожар

Во вторник вечером на ещё строящемся производственном предприятии TSMC вспыхнул пожар. Возгорание было обнаружено в 19:30 по местному времени, а к 21:10 пожарным удалось потушить его. К счастью, никто не пострадал.

 Источник изображения: TSMC

Источник изображения: TSMC

На момент возникновения пожара объект все ещё находился в стадии строительства. Источник возгорания выясняет пожарная служба округа, а также проводится проверка безопасности. Компания TSMC, являющаяся частью обширного научного парка Синьчжу, начала строительство в прошлом году. Согласно сообщениям, TSMC находилась на стадии строительства чистых помещений и трубопроводных систем на объекте. В ходе пожара, охватившего 300 кв. м, было повреждено некоторое уже установленное оборудование, а также системы трубопроводов из хлорированного поливинилхлорида (ХПВХ).

 Источник изображения: Miaoli Fire Department

Источник изображения: Miaoli Fire Department

Пожарная служба Миаоли, которая успешно потушила пламя, расследует причину пожара. TSMC заявила, что будет работать со своими подрядчиками для обеспечения пожарной безопасности в будущем.

Изначальные планы TSMC в отношении завода в Чжунане заключались в том, что он станет дополнительным передовым полигоном для упаковки и тестирования интегральных схем. Данное предприятие должно было объединить четыре существующих завода в Таоюане, Синьчжу, Тайчжуне и Тайнане.

Сегодня TSMC поделилась дополнительной информацией о своих будущих 2 нм узлах, а также представила планы по развитию транзисторов с 3 нм техпроцессом.

TSMC придумала, как ускорить создание автомобильных чипов на передовых техпроцессах

Применяемая в бортовых системах автомобилей электроника зачастую непосредственно влияет на безопасность движения, поэтому традиционно разработчики таких компонентов предъявляли повышенные требования к надёжности полупроводниковой продукции. Нередко им приходилось несколько лет ждать, прежде чем производители чипов предложат сертифицированные по безопасности решения для автомобильного сегмента. TSMC утверждает, что новое ПО позволит клиентам компании воспользоваться специальной версией 3-нм техпроцесса уже в 2025 году.

 Источник изображения: Tesla

Источник изображения: Tesla

На данный момент именно 3-нм техпроцесс является самым передовым в сфере литографии. О планах по освоению различных его версий мы подробно рассказывали сегодня в отдельном материале. Агентство Reuters пояснило, что на этой неделе компания TSMC представила программный инструментарий, который позволит разработчикам чипов приступать к созданию передовых компонентов на два года раньше по сравнению с существующей практикой. К 2025 году это позволит компании наладить выпуск автомобильных чипов по специальной версии 3-нм техпроцесса, если разработчики своевременно подготовят свои проекты.

Исторически, как отмечают представители TSMC, автомобильный сегмент в сфере литографии значительно отставал от потребительского. С появлением нового ПО для разработки специализированных чипов этот разрыв можно сократить сразу на два года. Пандемия научила автопроизводителей прямому диалогу с TSMC, они сейчас готовы принимать решения относительно применяемых техпроцессов для заказываемых компонентов, тогда как ранее почти всегда это право доставалось поставщикам комплектующих. Многие автопроизводители сейчас поддерживают прямые контакты с TSMC. Недавно в этом, например, призналось руководство Honda Motor.

TSMC обновила планы по развитию 3-нм техпроцессов: от массового N3E до мощного и прожорливого N3X

На Североамериканском технологическом симпозиуме 2023 года TSMC выпустила обновление дорожной карты для своих 3-нм техпроцессов — семейства N3. Ожидается, что это семейство станет последним поколением технологических норм TSMC на основе транзисторов FinFET, и на многие годы останется самым плотным техпроцессом, доступным клиентам, которым не нужен более современный техпроцесс на транзисторах GAAFET.

 Источник изображения: TSMC

Источник изображения: TSMC

TSMC уже запустила производство 3-нм чипов по технологии N3, но в будущем готовит сразу несколько улучшенных версий данного техпроцесса. По мнению TSMC большинство клиентов, будут использовать упрощённый техпроцесс N3E, разработка которого и в частности достижение целей по производительности идёт согласно графику. N3E использует до 19 слоёв EUV и не полагается на двойную структуру EUV, что снижает его сложность и стоимость. Компромисс заключается в том, что N3E предлагает более низкую плотность, чем стандартная версия N3, и имеет тот же размер ячейки SRAM, что и техпроцесс TSMC N5. Это делает его несколько менее привлекательным для тех клиентов, которые стремятся к увеличению плотности или сокращению площади чипов.

 Источник изображения: Anandtech

Источник изображения: Anandtech

Вслед за N3E компания TSMC продолжит оптимизацию плотности транзисторов семейства N3 в техпроцессе N3P, который будет основываться на N3E, предлагая улучшенные характеристики. Усовершенствованный техпроцесс позволит разработчикам микросхем либо увеличить производительность на 5 % при том же потреблении, либо снизить энергопотребление на 5–10 % при тех же тактовых частотах. Новый техпроцесс также увеличит плотность транзисторов на 4 % для «смешанной» конструкции микросхемы, которую TSMC определяет как микросхему, состоящую из 50 % логики, 30 % SRAM и 20 % аналоговых схем.

 Источник изображения: Anandtech

Источник изображения: Anandtech

В конце своего выступления TSMC рассказала о своём самом производительном 3-нм техпроцессе — N3X. По сравнению с N3P, N3X будет предлагать как минимум на 5 % более высокую тактовую частоту. TSMC утверждает, что N3X будет поддерживать напряжение 1,2 В, что является довольно экстремальным для техпроцесса класса 3 нм.

 Источник изображения: TSMC

Источник изображения: TSMC

При этом компания прогнозирует колоссальное увеличение утечки мощности на 250 % по сравнению с более сбалансированным узлом N3P — это подчёркивает, почему N3X на самом деле можно использовать только для процессоров класса HPC.

Компания заявляет, что технология N3P будет готова к производству во второй половине 2024 года, а последняя версия техпроцесса семейства N3 — технология N3X — в текущей дорожной карте TSMC будет готова к производству в 2025 году.


window-new
Soft
Hard
Тренды 🔥