Сегодня 08 июля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Новости Hardware

TSMC подтвердила отставание от Intel во внедрении передовых полупроводниковых технологий

Компания Intel последние полтора года буквально на каждом углу твердит, что намерена вернуть себе технологическое лидерство в сфере литографии к 2025 году. К тому времени она собирается освоить техпроцесс Intel 18A, а годом ранее в рамках технологии 20A она начнёт применять транзисторы со структурой RibbonFET и схему PowerVIA с подводом питания с обратной стороны чипа. Если опираться на новые комментарии представителей TSMC, тайваньский конкурент Intel последнее из новшеств своим клиентам предложит не ранее 2026 года.

 Источник изображения: Intel

Источник изображения: Intel

На минувшей квартальной отчётной конференции генеральный директор TSMC Си-Си Вэй (C.C. Wei) заявил, что техпроцесс N2 осваивается компанией в полном соответствии с графиком, и в массовом производстве он будет внедрён в 2025 году. TSMC в рамках технологии N2 будет использовать новую структуру транзисторов с так называемыми нанолистами (с круговым затвором) — Intel же её разновидность по имени RibbonFET при удачном стечении обстоятельств рассчитывает внедрить уже в 2024 году. Как считает глава TSMC, технология нанолистов предложит клиентам компании в рамках норм N2 лучшее сочетание производительности и энергопотребления на рынке, а также самую высокую плотность размещения транзисторов. Это позволит TSMC укрепить своё технологическое лидерство к моменту появления техпроцесса N2 на рынке, как считают в компании.

Однако следует учесть, что Intel свою структуру RibbonFET собирается внедрить в рамках техпроцесса 20A уже в следующем году — по крайней мере, на уровне прототипов. С этой точки зрения, если учитывать упоминания представителей TSMC о внедрении нанолистов в 2025 году, американский производитель чипов может претендовать на лидерство по срокам внедрения подобного новшества.

Генеральный директор TSMC попутно напомнил, что в рамках семейства техпроцессов N2 компания собирается внедрить и схему питания с обратной стороны чипа. По его словам, это новшество будет больше востребовано в сегменте высокопроизводительных вычислений. Скорость переключения транзисторов оно позволит поднять на 10–12 %, а плотность размещения транзисторов увеличить на 10–15 % по сравнению с базовым вариантом N2. Технически TSMC предложит данную схему питания чипов уже во второй половине 2025 года, но потребителям она станет доступна в массовом производстве лишь в 2026 году. Это значит, что и здесь TSMC тоже отстанет от Intel, причём сразу на полтора или два года, если в планах последней не возникнет непредвиденных задержек.

Глава TSMC добавил, что компания наблюдает высокий интерес клиентов к техпроцессам семейства N2 как со стороны разработчиков высокопроизводительных чипов, так и в сегменте смартфонов. В ходе беседы с аналитиками на отчётном мероприятии представители TSMC были вынуждены признать, что по мере смены техпроцессов компании удаётся повышать быстродействие транзисторов на всё меньшую величину. В рамках техпроцессов N2 достичь заметного прироста производительности по прежним меркам тоже не удастся, но клиенты в последнее время всё чаще заостряют внимание на повышении энергоэффективности, поэтому компания решила уделить этой оптимизации должное внимание при освоении данной литографической технологии. В сегменте центров обработки данных, по словам главы TSMC, это очень ценится.

Источник:

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.
Вечерний 3DNews
Каждый будний вечер мы рассылаем сводку новостей без белиберды и рекламы. Две минуты на чтение — и вы в курсе главных событий.
Материалы по теме

window-new
Soft
Hard
Тренды 🔥
Создатели «Смуты» взялись за работу над прототипами новых игр 56 мин.
«Невероятно многообещающе»: блогер показал геймплей мультиплеерного мода в духе GTA Online для Cyberpunk 2077 2 ч.
Бывший эксперт AMD по трассировке лучей поможет сделать компьютерные чипы Qualcomm пригодными для игр 2 ч.
Аниматор «засветил» неанонсированный ремейк от издателя Borderlands, BioShock и Mafia — фанаты теряются в догадках 3 ч.
Microsoft добавила в «Блокнот» проверку орфографии и автокоррекцию — через 40 лет после релиза 4 ч.
Telegram оштрафовали на 3 млн рублей за неудаление запрещённой в РФ информации 6 ч.
Google придумала, как в 13 раз ускорить обучение ИИ и снизить потребление энергии в 10 раз 6 ч.
Replaced порадует фанатов «проработанных мрачных миров и крутых стильных боёв» — ретрофутуристический экшен-платформер впечатлил журналистов 7 ч.
Олдскульный файтинг Blazing Strike в духе Super Street Fighter 2 Turbo и Fatal Fury спустя девять лет разработки получил дату выхода — игру делает один человек 8 ч.
Слишком много затрат и слишком мало пользы: аналитики Goldman Sachs не уверены в будущем ИИ 8 ч.
NASA закончило симуляцию экспедиции на Марс — все исследователи живы и здоровы после года в полной изоляции 44 мин.
Google отказалась от углеродно-нейтрального статуса ради достижения реальных нулевых выбросов к 2030 году 2 ч.
Samsung рассказала об обновлённом датчике BioActive для будущих смарт-часов Galaxy Watch 3 ч.
Космический телескоп NASA NEOWISE для фотоохоты на астероиды скоро завершит работу и сгорит в атмосфере 3 ч.
«Лаборатория Касперского» представила единое решение для построения безопасных распределённых промышленных сетей 4 ч.
В России многократно выросли продажи отечественных мониторов, но доминирует на рынке Acer 5 ч.
Всего за год «Сбер» захватил 5,2 % российского рынка телевизоров и обогнал «Яндекс» 7 ч.
Nothing представила CMF Phone 1 — бюджетный смартфон со сменными крышками и Dimensity 7300 9 ч.
Маркетплейсы стали основным местом покупки смартфонов в России 9 ч.
Oracle запустила второй облачный регион в Сингапуре 9 ч.